基于FPGA的VGA显示文字和数字
前言
结合正点原子以及野火的基础例程,理解了VGA本身基本协议,VGA本身显示像素为640*480,因此注意生成文字或者数字的字模不要太大。本文以VGA显示文字“心率测试”,以及数字“68”
本文不再对VGA驱动的编写作过多叙述,而是在例程的基础上进行修改。
一、VGA显示参数
显示方式从左到右,从上到下。
显示模式:640x480@60
640x480是指VGA的分辨率,640是指有效显示图像每一行有640个像素点,480是指每一帧图像有480行,640 * 480 = 307200 ≈ 300000,每一帧图片包含约30万个像素点,之前某品牌手机广告上所说的30万像素指的就是这个;@60是指VGA显示图像的刷新频率,60就是指VGA显示器每秒刷新图像60次,即每秒钟需要显示60帧图像。
时钟(MHz):25.175MHz
这是VGA显示的工作时钟,像素点扫描频率。
具体的时钟计算流程野火教程中有讲解。
二、字模生成
如果要显示文字或者数字,前提是要生成字模,通过字模软件把字模导出来。关键在于控制字模数组的长宽。
比如:生成“你”这个字的字模,选用的想字体点阵大小是6464
所以关键在于控制输出字模数组的宽度和深度。因为这个你字我们设置的是6464的,所以输出的数组也要是64*64的。
如何进行修改呢,**主要是改这个每行显示数据这,**点阵数决定了你每行的输出。
输出正确的数组格式为:
因为输出的是16进制,所以横向看起来很窄,换成2进制的话就是64位了。
但是如果你设置不对,输出的数组就不是6464的,到时候显示就会有问题。
错误案例:
显示数据也是同样的道理,但是注意,即使你设置数字的点阵大小也是6464,但是实际数字的点阵大小只需要32*64,英文字母跟数字都只需要文字的一半宽度。因此生成数组要注意,单个字母和数字只需要输出32*64即可。
比如显示数字1
这样生成的数组就是32*64了:
生成完字模之后,就需要把字模数据放到代码里面的数组进行扫描读取即可。
三、代码分析
顶层文件:
乱码,建议去下载野火科技的VGA例程,然后对应修改pic部分即可。
1.vga_char顶层
`timescale 1ns/1ns
//
// Company: yehuo 野火科技
// Engineer:
//
// Create Date: 2025/05/03 16:40:01
// Design Name:
// Module Name: top
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module vga_char(
input wire sys_clk , //杈撳叆宸ヤ綔鏃堕挓,棰戠巼50MHz
input wire rst_n , //杈撳叆澶嶄綅淇″彿,浣庣數骞虫湁鏁?
input wire vga_clk ,
input wire [3 :0] HEART_data_h,
input wire [3 :0] HEART_data_l,
input wire [3 :0] HEART_data_w,
output wire hsync , //杈撳嚭琛屽悓姝ヤ俊鍙?
output wire vsync , //杈撳嚭鍦哄悓姝ヤ俊鍙?
output wire [15:0] rgb //杈撳嚭鍍忕礌淇℃伅
);
//********************************************************************//
//****************** Parameter and Internal Signal *******************//
//********************************************************************//
//wire define
wire [9:0] pix_x ; //VGA鏈夋晥鏄剧ず鍖哄煙X杞村潗鏍?
wire [9:0] pix_y ; //VGA鏈夋晥鏄剧ず鍖哄煙Y杞村潗鏍?
wire [15:0] pix_data; //VGA鍍忕礌鐐硅壊褰╀俊鎭?
//------------- vga_ctrl_inst -------------
vga_ctrl vga_ctrl_inst
(
.vga_clk (vga_clk ), //杈撳叆宸ヤ綔鏃堕挓,棰戠巼25MHz,1bit
.sys_rst_n (rst_n ), //杈撳叆澶嶄綅淇″彿,浣庣數骞虫湁鏁?,1bit
.pix_data (pix_data ), //杈撳叆鍍忕礌鐐硅壊褰╀俊鎭?,16bit
.pix_x (pix_x ), //杈撳嚭VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筙杞村潗鏍?,10bit
.pix_y (pix_y ), //杈撳嚭VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筜杞村潗鏍?,10bit
.hsync (hsync ), //杈撳嚭琛屽悓姝ヤ俊鍙?,1bit
.vsync (vsync ), //杈撳嚭鍦哄悓姝ヤ俊鍙?,1bit
.rgb (rgb ) //杈撳嚭鍍忕礌鐐硅壊褰╀俊鎭?,16bit
);
//------------- vga_pic_inst -------------
vga_pic vga_pic_inst
(
.vga_clk (vga_clk ), //杈撳叆宸ヤ綔鏃堕挓,棰戠巼25MHz,1bit
.sys_rst_n (rst_n ), //杈撳叆澶嶄綅淇″彿,浣庣數骞虫湁鏁?,1bit
.pix_x (pix_x ), //杈撳叆VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筙杞村潗鏍?,10bit
.pix_y (pix_y ), //杈撳叆VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筜杞村潗鏍?,10bit
.HEART_data_h(HEART_data_h),
.HEART_data_l(HEART_data_l),
.HEART_data_w(HEART_data_w),
.pix_data (pix_data ) //杈撳嚭鍍忕礌鐐硅壊褰╀俊鎭?,16bit
);
endmodule
2.vga_ctrl驱动文件
`timescale 1ns/1ns
//
// Company: yehuo 野火科技
// Engineer:
//
// Create Date: 2025/05/03 16:40:01
// Design Name:
// Module Name: top
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module vga_ctrl
(
input wire vga_clk , //杈撳叆宸ヤ綔鏃堕挓,棰戠巼25MHz
input wire sys_rst_n , //杈撳叆澶嶄綅淇″彿,浣庣數骞虫湁鏁�
input wire [15:0] pix_data , //杈撳叆鍍忕礌鐐硅壊褰╀俊鎭�
output wire [9:0] pix_x , //杈撳嚭VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筙杞村潗鏍�
output wire [9:0] pix_y , //杈撳嚭VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筜杞村潗鏍�
output wire hsync , //杈撳嚭琛屽悓姝ヤ俊鍙�
output wire vsync , //杈撳嚭鍦哄悓姝ヤ俊鍙�
output wire [15:0] rgb //杈撳嚭鍍忕礌鐐硅壊褰╀俊鎭�
);
//********************************************************************//
//****************** Parameter and Internal Signal *******************//
//********************************************************************//
//parameter define
parameter H_SYNC = 10'd96 , //琛屽悓姝�
H_BACK = 10'd40 , //琛屾椂搴忓悗娌�
H_LEFT = 10'd8 , //琛屾椂搴忓乏杈规
H_VALID = 10'd640 , //琛屾湁鏁堟暟鎹�
H_RIGHT = 10'd8 , //琛屾椂搴忓彸杈规
H_FRONT = 10'd8 , //琛屾椂搴忓墠娌�
H_TOTAL = 10'd800 ; //琛屾壂鎻忓懆鏈�
parameter V_SYNC = 10'd2 , //鍦哄悓姝�
V_BACK = 10'd25 , //鍦烘椂搴忓悗娌�
V_TOP = 10'd8 , //鍦烘椂搴忎笂杈规
V_VALID = 10'd480 , //鍦烘湁鏁堟暟鎹�
V_BOTTOM = 10'd8 , //鍦烘椂搴忎笅杈规
V_FRONT = 10'd2 , //鍦烘椂搴忓墠娌�
V_TOTAL = 10'd525 ; //鍦烘壂鎻忓懆鏈�
//wire define
wire rgb_valid ; //VGA鏈夋晥鏄剧ず鍖哄煙
wire pix_data_req ; //鍍忕礌鐐硅壊褰╀俊鎭姹備俊鍙�
//reg define
reg [9:0] cnt_h ; //琛屽悓姝ヤ俊鍙疯鏁板櫒
reg [9:0] cnt_v ; //鍦哄悓姝ヤ俊鍙疯鏁板櫒
//********************************************************************//
//***************************** Main Code ****************************//
//********************************************************************//
//cnt_h:琛屽悓姝ヤ俊鍙疯鏁板櫒
always@(posedge vga_clk or negedge sys_rst_n)
if(sys_rst_n == 1'b0)
cnt_h <= 10'd0 ;
else if(cnt_h == H_TOTAL - 1'd1)
cnt_h <= 10'd0 ;
else
cnt_h <= cnt_h + 1'd1 ;
//hsync:琛屽悓姝ヤ俊鍙�
assign hsync = (cnt_h <= H_SYNC - 1'd1) ? 1'b1 : 1'b0 ;
//cnt_v:鍦哄悓姝ヤ俊鍙疯鏁板櫒
always@(posedge vga_clk or negedge sys_rst_n)
if(sys_rst_n == 1'b0)
cnt_v <= 10'd0 ;
else if((cnt_v == V_TOTAL - 1'd1) && (cnt_h == H_TOTAL-1'd1))
cnt_v <= 10'd0 ;
else if(cnt_h == H_TOTAL - 1'd1)
cnt_v <= cnt_v + 1'd1 ;
else
cnt_v <= cnt_v ;
//vsync:鍦哄悓姝ヤ俊鍙�
assign vsync = (cnt_v <= V_SYNC - 1'd1) ? 1'b1 : 1'b0 ;
//rgb_valid:VGA鏈夋晥鏄剧ず鍖哄煙
assign rgb_valid = (((cnt_h >= H_SYNC + H_BACK + H_LEFT)
&& (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID))
&&((cnt_v >= V_SYNC + V_BACK + V_TOP)
&& (cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID)))
? 1'b1 : 1'b0;
//pix_data_req:鍍忕礌鐐硅壊褰╀俊鎭姹備俊鍙�,瓒呭墠rgb_valid淇″彿涓�涓椂閽熷懆鏈�
assign pix_data_req = (((cnt_h >= H_SYNC + H_BACK + H_LEFT - 1'b1)
&& (cnt_h < H_SYNC + H_BACK + H_LEFT + H_VALID - 1'b1))
&&((cnt_v >= V_SYNC + V_BACK + V_TOP)
&& (cnt_v < V_SYNC + V_BACK + V_TOP + V_VALID)))
? 1'b1 : 1'b0;
//pix_x,pix_y:VGA鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐瑰潗鏍�
assign pix_x = (pix_data_req == 1'b1)
? (cnt_h - (H_SYNC + H_BACK + H_LEFT - 1'b1)) : 10'h3ff;
assign pix_y = (pix_data_req == 1'b1)
? (cnt_v - (V_SYNC + V_BACK + V_TOP)) : 10'h3ff;
//rgb:杈撳嚭鍍忕礌鐐硅壊褰╀俊鎭�
assign rgb = (rgb_valid == 1'b1) ? pix_data : 16'b0 ;
endmodule
3.vga_pic数据准备文件
我是用来显示心率数据的,所以我设置的是4个文字,所以长是64*4=256 宽是64
后面数字显示3位,我是一位一位的显示,每一次显示都是32*64的
如果你只想验证显示固定数字,只需要把HEART_data_h和 HEART_data_l赋值为固定数字即可。
最后注意工作时钟50Mhz,VGA工作时钟25MHz,用一个锁相环ip核产生一下就行。
`timescale 1ns/1ns
//
// Company: yehuo 野火科技
// Engineer:
//
// Create Date: 2025/05/03 16:40:01
// Design Name:
// Module Name: top
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//
module vga_pic
(
input wire vga_clk ,
input wire sys_rst_n ,
(*mark_debug = "true"*) input wire [3 :0] HEART_data_h,
(*mark_debug = "true"*) input wire [3 :0] HEART_data_l,
(*mark_debug = "true"*)input wire [3 :0] HEART_data_w,
(*mark_debug = "true"*)input wire [9:0] pix_x , //杈撳叆鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筙杞村潗鏍?
(*mark_debug = "true"*)input wire [9:0] pix_y , //杈撳叆鏈夋晥鏄剧ず鍖哄煙鍍忕礌鐐筜杞村潗鏍?
output reg [15:0] pix_data //杈撳嚭鍍忕礌鐐硅壊褰╀俊鎭?
);
//********************************************************************//
//****************** Parameter and Internal Signal *******************//
//********************************************************************//
//parameter define
parameter CHAR_B_H= 10'd80 , //瀛楃寮?濮媂杞村潗鏍?
CHAR_B_V= 10'd208 ; //瀛楃寮?濮媃杞村潗鏍?
parameter CHAR_C_H= 10'd360 , //鏁板瓧寮?濮媂杞村潗鏍?
CHAR_C_V= 10'd208 ; //鏁板瓧寮?濮媃杞村潗鏍?
parameter CHAR_W = 10'd256 , //瀛楃瀹藉害
CHAR_H = 10'd64 ; //瀛楃楂樺害
parameter CHARC_W = 10'd192 , //瀛楃瀹藉害
CHARC_H = 10'd32 ; //瀛楃楂樺害
parameter BLACK = 16'h0000, //榛戣壊
WHITE = 16'hFFFF, //鐧借壊
GOLDEN = 16'hFEC0; //閲戣壊
//wire define
(*mark_debug = "true"*)reg [9:0] char_x ; //瀛楃鏄剧ずX杞村潗鏍?
(*mark_debug = "true"*)reg [9:0] char_y ; //瀛楃鏄剧ずY杞村潗鏍?
//reg define
//reg define
reg [255:0] char [63:0] ; //字符数据
reg [31:0] char_0 [63:0] ; //字符0数据
reg [31:0] char_1 [63:0] ; //字符0数据
reg [31:0] char_2 [63:0] ; //字符0数据
reg [31:0] char_3 [63:0] ; //字符0数据
reg [31:0] char_4 [63:0] ; //字符0数据
reg [31:0] char_5 [63:0] ; //字符0数据
reg [31:0] char_6 [63:0] ; //字符0数据
reg [31:0] char_7 [63:0] ; //字符0数据
reg [31:0] char_8 [63:0] ; //字符0数据
reg [31:0] char_9 [63:0] ; //字符0数据
reg [256:0] char6 [15:0] ; //字符0数据
reg [31:0] char_h [63:0] ; //字符0数据
reg [31:0] char_l [63:0] ; //字符0数据
reg [31:0] char_w [63:0] ; //字符0数据
//********************************************************************//
//***************************** Main Code ****************************//
//********************************************************************//
//char:字符数据
//瀛楃鏄剧ず鍧愭爣
always@(*)
begin
if((((pix_x >= CHAR_B_H) && (pix_x < (CHAR_B_H + CHAR_W)))&& ((pix_y >= CHAR_B_V) && (pix_y < (CHAR_B_V + CHAR_H)))))
begin
char_x<=pix_x - CHAR_B_H;
end
else if((((pix_x >= CHAR_C_H) && (pix_x < (CHAR_C_H + 32)))&& ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V +64)))))
begin
char_x<=pix_x - CHAR_C_H;
end
else if((((pix_x >= 400) && (pix_x < (400 + 32)))&& ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V +64)))))
begin
char_x<=pix_x - 400;
end
else if((((pix_x >= 440) && (pix_x < (440 + 32)))&& ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V +64)))))
begin
char_x<=pix_x - 440;
end
else begin
char_x<=10'h3FF;
end
end
always@(*)
begin
if( (((pix_x >= CHAR_B_H) && (pix_x < (CHAR_B_H + CHAR_W))) && ((pix_y >= CHAR_B_V) && (pix_y < (CHAR_B_V + CHAR_H)))) )
begin
char_y<=pix_y - CHAR_B_V;
end
else if( (((pix_x >= CHAR_C_H) && (pix_x < (CHAR_C_H + 32))) && ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V + 64)))))
begin
char_y<=pix_y - CHAR_C_V;
end
else if( (((pix_x >= 400) && (pix_x < (400 + 32))) && ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V + 64)))))
begin
char_y<=pix_y - 400;
end
else if( (((pix_x >= 440) && (pix_x < (440 + 32))) && ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V + 64)))))
begin
char_y<=pix_y - 440;
end
else begin
char_y<=10'h3FF;
end
end
//char:0字符数据
always@(posedge vga_clk)
begin
case(HEART_data_h)
0:begin
char_l[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h003FC000;
char_w[11] <= 32'h00FFF000;
char_w[12] <= 32'h01F8F800;
char_w[13] <= 32'h03E07C00;
char_w[14] <= 32'h07E03C00;
char_w[15] <= 32'h07C03E00;
char_w[16] <= 32'h0FC01F00;
char_w[17] <= 32'h0F801F00;
char_w[18] <= 32'h1F801F00;
char_w[19] <= 32'h1F801F80;
char_w[20] <= 32'h1F000F80;
char_w[21] <= 32'h1F000F80;
char_w[22] <= 32'h3F000F80;
char_w[23] <= 32'h3F000FC0;
char_w[24] <= 32'h3F000FC0;
char_w[25] <= 32'h3F000FC0;
char_w[26] <= 32'h3F000FC0;
char_w[27] <= 32'h3F000FC0;
char_w[28] <= 32'h3F000FC0;
char_w[29] <= 32'h3F000FC0;
char_w[30] <= 32'h3F000FC0;
char_w[31] <= 32'h3F000FC0;
char_w[32] <= 32'h3F000FC0;
char_w[33] <= 32'h3F000FC0;
char_w[34] <= 32'h3F000FC0;
char_w[35] <= 32'h3F000F80;
char_w[36] <= 32'h1F000F80;
char_w[37] <= 32'h1F000F80;
char_w[38] <= 32'h1F801F80;
char_w[39] <= 32'h1F801F00;
char_l[40] <= 32'h0F801F00;
char_w[41] <= 32'h0F803E00;
char_w[42] <= 32'h07C03E00;
char_w[43] <= 32'h07E03C00;
char_w[44] <= 32'h03E07C00;
char_w[45] <= 32'h01F8F800;
char_w[46] <= 32'h00FFF000;
char_w[47] <= 32'h003FC000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
1:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h00038000;
char_w[11] <= 32'h00078000;
char_w[12] <= 32'h000F8000;
char_w[13] <= 32'h03FF8000;
char_w[14] <= 32'h03FF8000;
char_w[15] <= 32'h001F8000;
char_w[16] <= 32'h000F8000;
char_w[17] <= 32'h000F8000;
char_w[18] <= 32'h000F8000;
char_w[19] <= 32'h000F8000;
char_w[20] <= 32'h000F8000;
char_w[21] <= 32'h000F8000;
char_w[22] <= 32'h000F8000;
char_w[23] <= 32'h000F8000;
char_w[24] <= 32'h000F8000;
char_w[25] <= 32'h000F8000;
char_w[26] <= 32'h000F8000;
char_w[27] <= 32'h000F8000;
char_w[28] <= 32'h000F8000;
char_w[29] <= 32'h000F8000;
char_w[30] <= 32'h000F8000;
char_w[31] <= 32'h000F8000;
char_w[32] <= 32'h000F8000;
char_w[33] <= 32'h000F8000;
char_w[34] <= 32'h000F8000;
char_w[35] <= 32'h000F8000;
char_w[36] <= 32'h000F8000;
char_w[37] <= 32'h000F8000;
char_w[38] <= 32'h000F8000;
char_w[39] <= 32'h000F8000;
char_w[40] <= 32'h000F8000;
char_w[41] <= 32'h000F8000;
char_w[42] <= 32'h000F8000;
char_w[43] <= 32'h000F8000;
char_w[44] <= 32'h000F8000;
char_w[45] <= 32'h001FC000;
char_w[46] <= 32'h03FFFE00;
char_w[47] <= 32'h03FFFE00;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
2:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h007FE000;
char_w[11] <= 32'h01FFF800;
char_w[12] <= 32'h03E0FC00;
char_w[13] <= 32'h07803E00;
char_w[14] <= 32'h0F003F00;
char_w[15] <= 32'h0F001F00;
char_w[16] <= 32'h1F001F00;
char_w[17] <= 32'h1F001F80;
char_w[18] <= 32'h1F000F80;
char_w[19] <= 32'h1F800F80;
char_w[20] <= 32'h1F800F80;
char_w[21] <= 32'h1F801F80;
char_w[22] <= 32'h0F801F00;
char_w[23] <= 32'h00001F00;
char_w[24] <= 32'h00003F00;
char_w[25] <= 32'h00003E00;
char_w[26] <= 32'h00007C00;
char_w[27] <= 32'h00007C00;
char_w[28] <= 32'h0000F800;
char_w[29] <= 32'h0001F000;
char_w[30] <= 32'h0003E000;
char_w[31] <= 32'h0007C000;
char_w[32] <= 32'h000F8000;
char_w[33] <= 32'h001F0000;
char_w[34] <= 32'h003E0000;
char_w[35] <= 32'h003C0000;
char_w[36] <= 32'h00780000;
char_w[37] <= 32'h00F00000;
char_w[38] <= 32'h01E00180;
char_w[39] <= 32'h03C00380;
char_w[40] <= 32'h07800380;
char_w[41] <= 32'h0F000380;
char_w[42] <= 32'h0F000780;
char_w[43] <= 32'h1E000F80;
char_w[44] <= 32'h3FFFFF00;
char_w[45] <= 32'h3FFFFF00;
char_w[46] <= 32'h3FFFFF00;
char_w[47] <= 32'h3FFFFF00;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
3:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h007FC000;
char_w[11] <= 32'h01FFF000;
char_w[12] <= 32'h07C1F800;
char_w[13] <= 32'h07807C00;
char_w[14] <= 32'h0F007E00;
char_w[15] <= 32'h0F003E00;
char_w[16] <= 32'h1F003E00;
char_w[17] <= 32'h1F803F00;
char_w[18] <= 32'h1F803F00;
char_w[19] <= 32'h0F803F00;
char_w[20] <= 32'h07003F00;
char_w[21] <= 32'h00003E00;
char_w[22] <= 32'h00003E00;
char_w[23] <= 32'h00003C00;
char_w[24] <= 32'h00007C00;
char_w[25] <= 32'h0001F800;
char_w[26] <= 32'h000FF000;
char_w[27] <= 32'h003FC000;
char_w[28] <= 32'h003FF000;
char_w[29] <= 32'h0001F800;
char_w[30] <= 32'h00007C00;
char_w[31] <= 32'h00003E00;
char_w[32] <= 32'h00001F00;
char_w[33] <= 32'h00001F00;
char_w[34] <= 32'h00000F80;
char_w[35] <= 32'h00000F80;
char_w[36] <= 32'h00000F80;
char_w[37] <= 32'h06000F80;
char_w[38] <= 32'h1F800F80;
char_w[39] <= 32'h1F800F80;
char_w[40] <= 32'h1F800F80;
char_w[41] <= 32'h1F801F00;
char_w[42] <= 32'h1F001F00;
char_w[43] <= 32'h1F003E00;
char_w[44] <= 32'h0F807E00;
char_w[45] <= 32'h07C0FC00;
char_w[46] <= 32'h03FFF000;
char_w[47] <= 32'h00FFC000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
4:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h00007800;
char_w[11] <= 32'h0000F800;
char_w[12] <= 32'h0000F800;
char_w[13] <= 32'h0001F800;
char_w[14] <= 32'h0003F800;
char_w[15] <= 32'h0003F800;
char_w[16] <= 32'h0007F800;
char_w[17] <= 32'h000FF800;
char_w[18] <= 32'h000EF800;
char_w[19] <= 32'h001CF800;
char_w[20] <= 32'h003CF800;
char_w[21] <= 32'h0038F800;
char_w[22] <= 32'h0078F800;
char_w[23] <= 32'h0070F800;
char_w[24] <= 32'h00E0F800;
char_w[25] <= 32'h01E0F800;
char_w[26] <= 32'h01C0F800;
char_w[27] <= 32'h0380F800;
char_w[28] <= 32'h0780F800;
char_w[29] <= 32'h0700F800;
char_w[30] <= 32'h0F00F800;
char_w[31] <= 32'h1E00F800;
char_w[32] <= 32'h1C00F800;
char_w[33] <= 32'h3C00F800;
char_w[34] <= 32'h3800F800;
char_w[35] <= 32'h7FFFFFE0;
char_w[36] <= 32'h7FFFFFE0;
char_w[37] <= 32'h0000F800;
char_w[38] <= 32'h0000F800;
char_w[39] <= 32'h0000F800;
char_w[40] <= 32'h0000F800;
char_w[41] <= 32'h0000F800;
char_w[42] <= 32'h0000F800;
char_w[43] <= 32'h0000F800;
char_w[44] <= 32'h0000F800;
char_w[45] <= 32'h0001F800;
char_w[46] <= 32'h003FFFC0;
char_w[47] <= 32'h003FFFC0;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
5:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h07FFFF80;
char_w[11] <= 32'h07FFFF00;
char_w[12] <= 32'h07FFFF00;
char_w[13] <= 32'h07FFFE00;
char_w[14] <= 32'h07000000;
char_w[15] <= 32'h07000000;
char_w[16] <= 32'h07000000;
char_w[17] <= 32'h07000000;
char_w[18] <= 32'h07000000;
char_w[19] <= 32'h07000000;
char_w[20] <= 32'h07000000;
char_w[21] <= 32'h07000000;
char_w[22] <= 32'h07000000;
char_w[23] <= 32'h0F1FC000;
char_w[24] <= 32'h0F7FF800;
char_w[25] <= 32'h0FFFFC00;
char_w[26] <= 32'h0FE0FE00;
char_w[27] <= 32'h0FC03E00;
char_w[28] <= 32'h0F803F00;
char_w[29] <= 32'h0F001F00;
char_w[30] <= 32'h00001F80;
char_w[31] <= 32'h00000F80;
char_w[32] <= 32'h00000F80;
char_w[33] <= 32'h00000F80;
char_w[34] <= 32'h00000F80;
char_w[35] <= 32'h00000F80;
char_w[36] <= 32'h06000F80;
char_w[37] <= 32'h0F800F80;
char_w[38] <= 32'h1F800F80;
char_w[39] <= 32'h1F800F80;
char_w[40] <= 32'h1F801F80;
char_w[41] <= 32'h1F001F00;
char_w[42] <= 32'h1F001F00;
char_w[43] <= 32'h0F003E00;
char_w[44] <= 32'h0F007E00;
char_w[45] <= 32'h07C0FC00;
char_w[46] <= 32'h01FFF800;
char_w[47] <= 32'h007FE000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
6:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h001FF800;
char_w[11] <= 32'h007FFC00;
char_w[12] <= 32'h00F83E00;
char_w[13] <= 32'h01F03F00;
char_w[14] <= 32'h03E03F00;
char_w[15] <= 32'h07C03F00;
char_w[16] <= 32'h07803F00;
char_w[17] <= 32'h0F801C00;
char_w[18] <= 32'h0F800000;
char_w[19] <= 32'h1F000000;
char_w[20] <= 32'h1F000000;
char_w[21] <= 32'h1F000000;
char_w[22] <= 32'h1F000000;
char_w[23] <= 32'h3F038000;
char_w[24] <= 32'h3F1FF800;
char_w[25] <= 32'h3F7FFC00;
char_w[26] <= 32'h3FFCFE00;
char_w[27] <= 32'h3FF03F00;
char_w[28] <= 32'h3FC01F00;
char_w[29] <= 32'h3F801F80;
char_w[30] <= 32'h3F800F80;
char_w[31] <= 32'h3F000F80;
char_w[32] <= 32'h3F000FC0;
char_w[33] <= 32'h3F0007C0;
char_w[34] <= 32'h3F0007C0;
char_w[35] <= 32'h3F0007C0;
char_w[36] <= 32'h3F0007C0;
char_w[37] <= 32'h1F0007C0;
char_w[38] <= 32'h1F000FC0;
char_w[39] <= 32'h1F000F80;
char_w[40] <= 32'h1F800F80;
char_w[41] <= 32'h0F800F80;
char_w[42] <= 32'h0FC00F00;
char_w[43] <= 32'h07C01F00;
char_w[44] <= 32'h03E03E00;
char_w[45] <= 32'h01F87C00;
char_w[46] <= 32'h00FFF800;
char_w[47] <= 32'h003FE000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
7:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h0FFFFF80;
char_w[11] <= 32'h0FFFFF80;
char_w[12] <= 32'h0FFFFF80;
char_w[13] <= 32'h0FFFFF00;
char_w[14] <= 32'h0F000F00;
char_w[15] <= 32'h1E000E00;
char_w[16] <= 32'h1C001E00;
char_w[17] <= 32'h1C001C00;
char_w[18] <= 32'h1C003C00;
char_w[19] <= 32'h18007800;
char_w[20] <= 32'h00007800;
char_w[21] <= 32'h0000F000;
char_w[22] <= 32'h0000F000;
char_w[23] <= 32'h0001E000;
char_w[24] <= 32'h0001E000;
char_w[25] <= 32'h0003C000;
char_w[26] <= 32'h0003C000;
char_w[27] <= 32'h0003C000;
char_w[28] <= 32'h00078000;
char_w[29] <= 32'h00078000;
char_w[30] <= 32'h000F8000;
char_w[31] <= 32'h000F0000;
char_w[32] <= 32'h001F0000;
char_w[33] <= 32'h001F0000;
char_w[34] <= 32'h001F0000;
char_w[35] <= 32'h001F0000;
char_w[36] <= 32'h003F0000;
char_w[37] <= 32'h003E0000;
char_w[38] <= 32'h003E0000;
char_w[39] <= 32'h003E0000;
char_w[40] <= 32'h007F0000;
char_w[41] <= 32'h007F0000;
char_w[42] <= 32'h007F0000;
char_w[43] <= 32'h007F0000;
char_w[44] <= 32'h007F0000;
char_w[45] <= 32'h007F0000;
char_w[46] <= 32'h007E0000;
char_w[47] <= 32'h003E0000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
8:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h007FE000;
char_w[11] <= 32'h01FFF800;
char_w[12] <= 32'h07E07C00;
char_w[13] <= 32'h07803E00;
char_w[14] <= 32'h0F001F00;
char_w[15] <= 32'h1F000F00;
char_w[16] <= 32'h1E000F80;
char_w[17] <= 32'h1E000F80;
char_w[18] <= 32'h1E000F80;
char_w[19] <= 32'h1E000F80;
char_w[20] <= 32'h1F000F80;
char_w[21] <= 32'h1F000F80;
char_w[22] <= 32'h1F800F00;
char_w[23] <= 32'h0FC01F00;
char_w[24] <= 32'h07F03E00;
char_w[25] <= 32'h07FC7C00;
char_w[26] <= 32'h01FFF800;
char_w[27] <= 32'h00FFE000;
char_w[28] <= 32'h01FFF000;
char_w[29] <= 32'h03EFF800;
char_w[30] <= 32'h07C3FC00;
char_w[31] <= 32'h0F81FE00;
char_w[32] <= 32'h1F007F00;
char_w[33] <= 32'h1E003F00;
char_w[34] <= 32'h3E001F80;
char_w[35] <= 32'h3E000F80;
char_w[36] <= 32'h3C000F80;
char_w[37] <= 32'h3C000F80;
char_w[38] <= 32'h3C000780;
char_w[39] <= 32'h3C000780;
char_w[40] <= 32'h3C000F80;
char_w[41] <= 32'h3E000F80;
char_w[42] <= 32'h1E000F00;
char_w[43] <= 32'h1F001F00;
char_w[44] <= 32'h0F803E00;
char_w[45] <= 32'h07E07C00;
char_w[46] <= 32'h01FFF800;
char_w[47] <= 32'h007FE000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
9:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h00FFC000;
char_w[11] <= 32'h01FFF000;
char_w[12] <= 32'h07E0F800;
char_w[13] <= 32'h07807C00;
char_w[14] <= 32'h0F803E00;
char_w[15] <= 32'h1F001E00;
char_w[16] <= 32'h1F001F00;
char_w[17] <= 32'h3E001F00;
char_w[18] <= 32'h3E000F80;
char_w[19] <= 32'h3E000F80;
char_w[20] <= 32'h3E000F80;
char_w[21] <= 32'h3E000F80;
char_w[22] <= 32'h3E000F80;
char_w[23] <= 32'h3E000FC0;
char_w[24] <= 32'h3E000FC0;
char_w[25] <= 32'h3E001FC0;
char_w[26] <= 32'h3F001FC0;
char_w[27] <= 32'h3F003FC0;
char_w[28] <= 32'h1F003FC0;
char_w[29] <= 32'h1F807FC0;
char_w[30] <= 32'h0FC1FFC0;
char_w[31] <= 32'h07FFEF80;
char_w[32] <= 32'h03FFCF80;
char_w[33] <= 32'h00FF0F80;
char_w[34] <= 32'h00001F80;
char_w[35] <= 32'h00001F80;
char_w[36] <= 32'h00001F00;
char_w[37] <= 32'h00001F00;
char_w[38] <= 32'h00001F00;
char_w[39] <= 32'h00003E00;
char_w[40] <= 32'h07003E00;
char_w[41] <= 32'h0F807C00;
char_w[42] <= 32'h0FC07C00;
char_w[43] <= 32'h0FC0F800;
char_w[44] <= 32'h0FC1F000;
char_w[45] <= 32'h0FC3E000;
char_w[46] <= 32'h07FFC000;
char_w[47] <= 32'h01FF0000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
default:begin
char_w[0] <= 32'h00000000;
char_w[1] <= 32'h00000000;
char_w[2] <= 32'h00000000;
char_w[3] <= 32'h00000000;
char_w[4] <= 32'h00000000;
char_w[5] <= 32'h00000000;
char_w[6] <= 32'h00000000;
char_w[7] <= 32'h00000000;
char_w[8] <= 32'h00000000;
char_w[9] <= 32'h00000000;
char_w[10] <= 32'h003FC000;
char_w[11] <= 32'h00FFF000;
char_w[12] <= 32'h01F8F800;
char_w[13] <= 32'h03E07C00;
char_w[14] <= 32'h07E03C00;
char_w[15] <= 32'h07C03E00;
char_w[16] <= 32'h0FC01F00;
char_w[17] <= 32'h0F801F00;
char_w[18] <= 32'h1F801F00;
char_w[19] <= 32'h1F801F80;
char_w[20] <= 32'h1F000F80;
char_w[21] <= 32'h1F000F80;
char_w[22] <= 32'h3F000F80;
char_w[23] <= 32'h3F000FC0;
char_w[24] <= 32'h3F000FC0;
char_w[25] <= 32'h3F000FC0;
char_w[26] <= 32'h3F000FC0;
char_w[27] <= 32'h3F000FC0;
char_w[28] <= 32'h3F000FC0;
char_w[29] <= 32'h3F000FC0;
char_w[30] <= 32'h3F000FC0;
char_w[31] <= 32'h3F000FC0;
char_w[32] <= 32'h3F000FC0;
char_w[33] <= 32'h3F000FC0;
char_w[34] <= 32'h3F000FC0;
char_w[35] <= 32'h3F000F80;
char_w[36] <= 32'h1F000F80;
char_w[37] <= 32'h1F000F80;
char_w[38] <= 32'h1F801F80;
char_w[39] <= 32'h1F801F00;
char_w[40] <= 32'h0F801F00;
char_w[41] <= 32'h0F803E00;
char_w[42] <= 32'h07C03E00;
char_w[43] <= 32'h07E03C00;
char_w[44] <= 32'h03E07C00;
char_w[45] <= 32'h01F8F800;
char_w[46] <= 32'h00FFF000;
char_w[47] <= 32'h003FC000;
char_w[48] <= 32'h00000000;
char_w[49] <= 32'h00000000;
char_w[50] <= 32'h00000000;
char_w[51] <= 32'h00000000;
char_w[52] <= 32'h00000000;
char_w[53] <= 32'h00000000;
char_w[54] <= 32'h00000000;
char_w[55] <= 32'h00000000;
char_w[56] <= 32'h00000000;
char_w[57] <= 32'h00000000;
char_w[58] <= 32'h00000000;
char_w[59] <= 32'h00000000;
char_w[60] <= 32'h00000000;
char_w[61] <= 32'h00000000;
char_w[62] <= 32'h00000000;
char_w[63] <= 32'h00000000;
end
endcase
end
//char:0字符数据
always@(posedge vga_clk)
begin
case(HEART_data_l)
0:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h003FC000;
char_l[11] <= 32'h00FFF000;
char_l[12] <= 32'h01F8F800;
char_l[13] <= 32'h03E07C00;
char_l[14] <= 32'h07E03C00;
char_l[15] <= 32'h07C03E00;
char_l[16] <= 32'h0FC01F00;
char_l[17] <= 32'h0F801F00;
char_l[18] <= 32'h1F801F00;
char_l[19] <= 32'h1F801F80;
char_l[20] <= 32'h1F000F80;
char_l[21] <= 32'h1F000F80;
char_l[22] <= 32'h3F000F80;
char_l[23] <= 32'h3F000FC0;
char_l[24] <= 32'h3F000FC0;
char_l[25] <= 32'h3F000FC0;
char_l[26] <= 32'h3F000FC0;
char_l[27] <= 32'h3F000FC0;
char_l[28] <= 32'h3F000FC0;
char_l[29] <= 32'h3F000FC0;
char_l[30] <= 32'h3F000FC0;
char_l[31] <= 32'h3F000FC0;
char_l[32] <= 32'h3F000FC0;
char_l[33] <= 32'h3F000FC0;
char_l[34] <= 32'h3F000FC0;
char_l[35] <= 32'h3F000F80;
char_l[36] <= 32'h1F000F80;
char_l[37] <= 32'h1F000F80;
char_l[38] <= 32'h1F801F80;
char_l[39] <= 32'h1F801F00;
char_l[40] <= 32'h0F801F00;
char_l[41] <= 32'h0F803E00;
char_l[42] <= 32'h07C03E00;
char_l[43] <= 32'h07E03C00;
char_l[44] <= 32'h03E07C00;
char_l[45] <= 32'h01F8F800;
char_l[46] <= 32'h00FFF000;
char_l[47] <= 32'h003FC000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
1:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h00038000;
char_l[11] <= 32'h00078000;
char_l[12] <= 32'h000F8000;
char_l[13] <= 32'h03FF8000;
char_l[14] <= 32'h03FF8000;
char_l[15] <= 32'h001F8000;
char_l[16] <= 32'h000F8000;
char_l[17] <= 32'h000F8000;
char_l[18] <= 32'h000F8000;
char_l[19] <= 32'h000F8000;
char_l[20] <= 32'h000F8000;
char_l[21] <= 32'h000F8000;
char_l[22] <= 32'h000F8000;
char_l[23] <= 32'h000F8000;
char_l[24] <= 32'h000F8000;
char_l[25] <= 32'h000F8000;
char_l[26] <= 32'h000F8000;
char_l[27] <= 32'h000F8000;
char_l[28] <= 32'h000F8000;
char_l[29] <= 32'h000F8000;
char_l[30] <= 32'h000F8000;
char_l[31] <= 32'h000F8000;
char_l[32] <= 32'h000F8000;
char_l[33] <= 32'h000F8000;
char_l[34] <= 32'h000F8000;
char_l[35] <= 32'h000F8000;
char_l[36] <= 32'h000F8000;
char_l[37] <= 32'h000F8000;
char_l[38] <= 32'h000F8000;
char_l[39] <= 32'h000F8000;
char_l[40] <= 32'h000F8000;
char_l[41] <= 32'h000F8000;
char_l[42] <= 32'h000F8000;
char_l[43] <= 32'h000F8000;
char_l[44] <= 32'h000F8000;
char_l[45] <= 32'h001FC000;
char_l[46] <= 32'h03FFFE00;
char_l[47] <= 32'h03FFFE00;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
2:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h007FE000;
char_l[11] <= 32'h01FFF800;
char_l[12] <= 32'h03E0FC00;
char_l[13] <= 32'h07803E00;
char_l[14] <= 32'h0F003F00;
char_l[15] <= 32'h0F001F00;
char_l[16] <= 32'h1F001F00;
char_l[17] <= 32'h1F001F80;
char_l[18] <= 32'h1F000F80;
char_l[19] <= 32'h1F800F80;
char_l[20] <= 32'h1F800F80;
char_l[21] <= 32'h1F801F80;
char_l[22] <= 32'h0F801F00;
char_l[23] <= 32'h00001F00;
char_l[24] <= 32'h00003F00;
char_l[25] <= 32'h00003E00;
char_l[26] <= 32'h00007C00;
char_l[27] <= 32'h00007C00;
char_l[28] <= 32'h0000F800;
char_l[29] <= 32'h0001F000;
char_l[30] <= 32'h0003E000;
char_l[31] <= 32'h0007C000;
char_l[32] <= 32'h000F8000;
char_l[33] <= 32'h001F0000;
char_l[34] <= 32'h003E0000;
char_l[35] <= 32'h003C0000;
char_l[36] <= 32'h00780000;
char_l[37] <= 32'h00F00000;
char_l[38] <= 32'h01E00180;
char_l[39] <= 32'h03C00380;
char_l[40] <= 32'h07800380;
char_l[41] <= 32'h0F000380;
char_l[42] <= 32'h0F000780;
char_l[43] <= 32'h1E000F80;
char_l[44] <= 32'h3FFFFF00;
char_l[45] <= 32'h3FFFFF00;
char_l[46] <= 32'h3FFFFF00;
char_l[47] <= 32'h3FFFFF00;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
3:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h007FC000;
char_l[11] <= 32'h01FFF000;
char_l[12] <= 32'h07C1F800;
char_l[13] <= 32'h07807C00;
char_l[14] <= 32'h0F007E00;
char_l[15] <= 32'h0F003E00;
char_l[16] <= 32'h1F003E00;
char_l[17] <= 32'h1F803F00;
char_l[18] <= 32'h1F803F00;
char_l[19] <= 32'h0F803F00;
char_l[20] <= 32'h07003F00;
char_l[21] <= 32'h00003E00;
char_l[22] <= 32'h00003E00;
char_l[23] <= 32'h00003C00;
char_l[24] <= 32'h00007C00;
char_l[25] <= 32'h0001F800;
char_l[26] <= 32'h000FF000;
char_l[27] <= 32'h003FC000;
char_l[28] <= 32'h003FF000;
char_l[29] <= 32'h0001F800;
char_l[30] <= 32'h00007C00;
char_l[31] <= 32'h00003E00;
char_l[32] <= 32'h00001F00;
char_l[33] <= 32'h00001F00;
char_l[34] <= 32'h00000F80;
char_l[35] <= 32'h00000F80;
char_l[36] <= 32'h00000F80;
char_l[37] <= 32'h06000F80;
char_l[38] <= 32'h1F800F80;
char_l[39] <= 32'h1F800F80;
char_l[40] <= 32'h1F800F80;
char_l[41] <= 32'h1F801F00;
char_l[42] <= 32'h1F001F00;
char_l[43] <= 32'h1F003E00;
char_l[44] <= 32'h0F807E00;
char_l[45] <= 32'h07C0FC00;
char_l[46] <= 32'h03FFF000;
char_l[47] <= 32'h00FFC000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
4:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h00007800;
char_l[11] <= 32'h0000F800;
char_l[12] <= 32'h0000F800;
char_l[13] <= 32'h0001F800;
char_l[14] <= 32'h0003F800;
char_l[15] <= 32'h0003F800;
char_l[16] <= 32'h0007F800;
char_l[17] <= 32'h000FF800;
char_l[18] <= 32'h000EF800;
char_l[19] <= 32'h001CF800;
char_l[20] <= 32'h003CF800;
char_l[21] <= 32'h0038F800;
char_l[22] <= 32'h0078F800;
char_l[23] <= 32'h0070F800;
char_l[24] <= 32'h00E0F800;
char_l[25] <= 32'h01E0F800;
char_l[26] <= 32'h01C0F800;
char_l[27] <= 32'h0380F800;
char_l[28] <= 32'h0780F800;
char_l[29] <= 32'h0700F800;
char_l[30] <= 32'h0F00F800;
char_l[31] <= 32'h1E00F800;
char_l[32] <= 32'h1C00F800;
char_l[33] <= 32'h3C00F800;
char_l[34] <= 32'h3800F800;
char_l[35] <= 32'h7FFFFFE0;
char_l[36] <= 32'h7FFFFFE0;
char_l[37] <= 32'h0000F800;
char_l[38] <= 32'h0000F800;
char_l[39] <= 32'h0000F800;
char_l[40] <= 32'h0000F800;
char_l[41] <= 32'h0000F800;
char_l[42] <= 32'h0000F800;
char_l[43] <= 32'h0000F800;
char_l[44] <= 32'h0000F800;
char_l[45] <= 32'h0001F800;
char_l[46] <= 32'h003FFFC0;
char_l[47] <= 32'h003FFFC0;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
5:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h07FFFF80;
char_l[11] <= 32'h07FFFF00;
char_l[12] <= 32'h07FFFF00;
char_l[13] <= 32'h07FFFE00;
char_l[14] <= 32'h07000000;
char_l[15] <= 32'h07000000;
char_l[16] <= 32'h07000000;
char_l[17] <= 32'h07000000;
char_l[18] <= 32'h07000000;
char_l[19] <= 32'h07000000;
char_l[20] <= 32'h07000000;
char_l[21] <= 32'h07000000;
char_l[22] <= 32'h07000000;
char_l[23] <= 32'h0F1FC000;
char_l[24] <= 32'h0F7FF800;
char_l[25] <= 32'h0FFFFC00;
char_l[26] <= 32'h0FE0FE00;
char_l[27] <= 32'h0FC03E00;
char_l[28] <= 32'h0F803F00;
char_l[29] <= 32'h0F001F00;
char_l[30] <= 32'h00001F80;
char_l[31] <= 32'h00000F80;
char_l[32] <= 32'h00000F80;
char_l[33] <= 32'h00000F80;
char_l[34] <= 32'h00000F80;
char_l[35] <= 32'h00000F80;
char_l[36] <= 32'h06000F80;
char_l[37] <= 32'h0F800F80;
char_l[38] <= 32'h1F800F80;
char_l[39] <= 32'h1F800F80;
char_l[40] <= 32'h1F801F80;
char_l[41] <= 32'h1F001F00;
char_l[42] <= 32'h1F001F00;
char_l[43] <= 32'h0F003E00;
char_l[44] <= 32'h0F007E00;
char_l[45] <= 32'h07C0FC00;
char_l[46] <= 32'h01FFF800;
char_l[47] <= 32'h007FE000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
6:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h001FF800;
char_l[11] <= 32'h007FFC00;
char_l[12] <= 32'h00F83E00;
char_l[13] <= 32'h01F03F00;
char_l[14] <= 32'h03E03F00;
char_l[15] <= 32'h07C03F00;
char_l[16] <= 32'h07803F00;
char_l[17] <= 32'h0F801C00;
char_l[18] <= 32'h0F800000;
char_l[19] <= 32'h1F000000;
char_l[20] <= 32'h1F000000;
char_l[21] <= 32'h1F000000;
char_l[22] <= 32'h1F000000;
char_l[23] <= 32'h3F038000;
char_l[24] <= 32'h3F1FF800;
char_l[25] <= 32'h3F7FFC00;
char_l[26] <= 32'h3FFCFE00;
char_l[27] <= 32'h3FF03F00;
char_l[28] <= 32'h3FC01F00;
char_l[29] <= 32'h3F801F80;
char_l[30] <= 32'h3F800F80;
char_l[31] <= 32'h3F000F80;
char_l[32] <= 32'h3F000FC0;
char_l[33] <= 32'h3F0007C0;
char_l[34] <= 32'h3F0007C0;
char_l[35] <= 32'h3F0007C0;
char_l[36] <= 32'h3F0007C0;
char_l[37] <= 32'h1F0007C0;
char_l[38] <= 32'h1F000FC0;
char_l[39] <= 32'h1F000F80;
char_l[40] <= 32'h1F800F80;
char_l[41] <= 32'h0F800F80;
char_l[42] <= 32'h0FC00F00;
char_l[43] <= 32'h07C01F00;
char_l[44] <= 32'h03E03E00;
char_l[45] <= 32'h01F87C00;
char_l[46] <= 32'h00FFF800;
char_l[47] <= 32'h003FE000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
7:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h0FFFFF80;
char_l[11] <= 32'h0FFFFF80;
char_l[12] <= 32'h0FFFFF80;
char_l[13] <= 32'h0FFFFF00;
char_l[14] <= 32'h0F000F00;
char_l[15] <= 32'h1E000E00;
char_l[16] <= 32'h1C001E00;
char_l[17] <= 32'h1C001C00;
char_l[18] <= 32'h1C003C00;
char_l[19] <= 32'h18007800;
char_l[20] <= 32'h00007800;
char_l[21] <= 32'h0000F000;
char_l[22] <= 32'h0000F000;
char_l[23] <= 32'h0001E000;
char_l[24] <= 32'h0001E000;
char_l[25] <= 32'h0003C000;
char_l[26] <= 32'h0003C000;
char_l[27] <= 32'h0003C000;
char_l[28] <= 32'h00078000;
char_l[29] <= 32'h00078000;
char_l[30] <= 32'h000F8000;
char_l[31] <= 32'h000F0000;
char_l[32] <= 32'h001F0000;
char_l[33] <= 32'h001F0000;
char_l[34] <= 32'h001F0000;
char_l[35] <= 32'h001F0000;
char_l[36] <= 32'h003F0000;
char_l[37] <= 32'h003E0000;
char_l[38] <= 32'h003E0000;
char_l[39] <= 32'h003E0000;
char_l[40] <= 32'h007F0000;
char_l[41] <= 32'h007F0000;
char_l[42] <= 32'h007F0000;
char_l[43] <= 32'h007F0000;
char_l[44] <= 32'h007F0000;
char_l[45] <= 32'h007F0000;
char_l[46] <= 32'h007E0000;
char_l[47] <= 32'h003E0000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
8:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h007FE000;
char_l[11] <= 32'h01FFF800;
char_l[12] <= 32'h07E07C00;
char_l[13] <= 32'h07803E00;
char_l[14] <= 32'h0F001F00;
char_l[15] <= 32'h1F000F00;
char_l[16] <= 32'h1E000F80;
char_l[17] <= 32'h1E000F80;
char_l[18] <= 32'h1E000F80;
char_l[19] <= 32'h1E000F80;
char_l[20] <= 32'h1F000F80;
char_l[21] <= 32'h1F000F80;
char_l[22] <= 32'h1F800F00;
char_l[23] <= 32'h0FC01F00;
char_l[24] <= 32'h07F03E00;
char_l[25] <= 32'h07FC7C00;
char_l[26] <= 32'h01FFF800;
char_l[27] <= 32'h00FFE000;
char_l[28] <= 32'h01FFF000;
char_l[29] <= 32'h03EFF800;
char_l[30] <= 32'h07C3FC00;
char_l[31] <= 32'h0F81FE00;
char_l[32] <= 32'h1F007F00;
char_l[33] <= 32'h1E003F00;
char_l[34] <= 32'h3E001F80;
char_l[35] <= 32'h3E000F80;
char_l[36] <= 32'h3C000F80;
char_l[37] <= 32'h3C000F80;
char_l[38] <= 32'h3C000780;
char_l[39] <= 32'h3C000780;
char_l[40] <= 32'h3C000F80;
char_l[41] <= 32'h3E000F80;
char_l[42] <= 32'h1E000F00;
char_l[43] <= 32'h1F001F00;
char_l[44] <= 32'h0F803E00;
char_l[45] <= 32'h07E07C00;
char_l[46] <= 32'h01FFF800;
char_l[47] <= 32'h007FE000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
9:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h00FFC000;
char_l[11] <= 32'h01FFF000;
char_l[12] <= 32'h07E0F800;
char_l[13] <= 32'h07807C00;
char_l[14] <= 32'h0F803E00;
char_l[15] <= 32'h1F001E00;
char_l[16] <= 32'h1F001F00;
char_l[17] <= 32'h3E001F00;
char_l[18] <= 32'h3E000F80;
char_l[19] <= 32'h3E000F80;
char_l[20] <= 32'h3E000F80;
char_l[21] <= 32'h3E000F80;
char_l[22] <= 32'h3E000F80;
char_l[23] <= 32'h3E000FC0;
char_l[24] <= 32'h3E000FC0;
char_l[25] <= 32'h3E001FC0;
char_l[26] <= 32'h3F001FC0;
char_l[27] <= 32'h3F003FC0;
char_l[28] <= 32'h1F003FC0;
char_l[29] <= 32'h1F807FC0;
char_l[30] <= 32'h0FC1FFC0;
char_l[31] <= 32'h07FFEF80;
char_l[32] <= 32'h03FFCF80;
char_l[33] <= 32'h00FF0F80;
char_l[34] <= 32'h00001F80;
char_l[35] <= 32'h00001F80;
char_l[36] <= 32'h00001F00;
char_l[37] <= 32'h00001F00;
char_l[38] <= 32'h00001F00;
char_l[39] <= 32'h00003E00;
char_l[40] <= 32'h07003E00;
char_l[41] <= 32'h0F807C00;
char_l[42] <= 32'h0FC07C00;
char_l[43] <= 32'h0FC0F800;
char_l[44] <= 32'h0FC1F000;
char_l[45] <= 32'h0FC3E000;
char_l[46] <= 32'h07FFC000;
char_l[47] <= 32'h01FF0000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
default:begin
char_l[0] <= 32'h00000000;
char_l[1] <= 32'h00000000;
char_l[2] <= 32'h00000000;
char_l[3] <= 32'h00000000;
char_l[4] <= 32'h00000000;
char_l[5] <= 32'h00000000;
char_l[6] <= 32'h00000000;
char_l[7] <= 32'h00000000;
char_l[8] <= 32'h00000000;
char_l[9] <= 32'h00000000;
char_l[10] <= 32'h003FC000;
char_l[11] <= 32'h00FFF000;
char_l[12] <= 32'h01F8F800;
char_l[13] <= 32'h03E07C00;
char_l[14] <= 32'h07E03C00;
char_l[15] <= 32'h07C03E00;
char_l[16] <= 32'h0FC01F00;
char_l[17] <= 32'h0F801F00;
char_l[18] <= 32'h1F801F00;
char_l[19] <= 32'h1F801F80;
char_l[20] <= 32'h1F000F80;
char_l[21] <= 32'h1F000F80;
char_l[22] <= 32'h3F000F80;
char_l[23] <= 32'h3F000FC0;
char_l[24] <= 32'h3F000FC0;
char_l[25] <= 32'h3F000FC0;
char_l[26] <= 32'h3F000FC0;
char_l[27] <= 32'h3F000FC0;
char_l[28] <= 32'h3F000FC0;
char_l[29] <= 32'h3F000FC0;
char_l[30] <= 32'h3F000FC0;
char_l[31] <= 32'h3F000FC0;
char_l[32] <= 32'h3F000FC0;
char_l[33] <= 32'h3F000FC0;
char_l[34] <= 32'h3F000FC0;
char_l[35] <= 32'h3F000F80;
char_l[36] <= 32'h1F000F80;
char_l[37] <= 32'h1F000F80;
char_l[38] <= 32'h1F801F80;
char_l[39] <= 32'h1F801F00;
char_l[40] <= 32'h0F801F00;
char_l[41] <= 32'h0F803E00;
char_l[42] <= 32'h07C03E00;
char_l[43] <= 32'h07E03C00;
char_l[44] <= 32'h03E07C00;
char_l[45] <= 32'h01F8F800;
char_l[46] <= 32'h00FFF000;
char_l[47] <= 32'h003FC000;
char_l[48] <= 32'h00000000;
char_l[49] <= 32'h00000000;
char_l[50] <= 32'h00000000;
char_l[51] <= 32'h00000000;
char_l[52] <= 32'h00000000;
char_l[53] <= 32'h00000000;
char_l[54] <= 32'h00000000;
char_l[55] <= 32'h00000000;
char_l[56] <= 32'h00000000;
char_l[57] <= 32'h00000000;
char_l[58] <= 32'h00000000;
char_l[59] <= 32'h00000000;
char_l[60] <= 32'h00000000;
char_l[61] <= 32'h00000000;
char_l[62] <= 32'h00000000;
char_l[63] <= 32'h00000000;
end
endcase
end
//char:0字符数据
always@(posedge vga_clk)
begin
char_0[0] <= 32'h00000000;
char_0[1] <= 32'h00000000;
char_0[2] <= 32'h00000000;
char_0[3] <= 32'h00000000;
char_0[4] <= 32'h00000000;
char_0[5] <= 32'h00000000;
char_0[6] <= 32'h00000000;
char_0[7] <= 32'h00000000;
char_0[8] <= 32'h00000000;
char_0[9] <= 32'h00000000;
char_0[10] <= 32'h003FC000;
char_0[11] <= 32'h00FFF000;
char_0[12] <= 32'h01F8F800;
char_0[13] <= 32'h03E07C00;
char_0[14] <= 32'h07E03C00;
char_0[15] <= 32'h07C03E00;
char_0[16] <= 32'h0FC01F00;
char_0[17] <= 32'h0F801F00;
char_0[18] <= 32'h1F801F00;
char_0[19] <= 32'h1F801F80;
char_0[20] <= 32'h1F000F80;
char_0[21] <= 32'h1F000F80;
char_0[22] <= 32'h3F000F80;
char_0[23] <= 32'h3F000FC0;
char_0[24] <= 32'h3F000FC0;
char_0[25] <= 32'h3F000FC0;
char_0[26] <= 32'h3F000FC0;
char_0[27] <= 32'h3F000FC0;
char_0[28] <= 32'h3F000FC0;
char_0[29] <= 32'h3F000FC0;
char_0[30] <= 32'h3F000FC0;
char_0[31] <= 32'h3F000FC0;
char_0[32] <= 32'h3F000FC0;
char_0[33] <= 32'h3F000FC0;
char_0[34] <= 32'h3F000FC0;
char_0[35] <= 32'h3F000F80;
char_0[36] <= 32'h1F000F80;
char_0[37] <= 32'h1F000F80;
char_0[38] <= 32'h1F801F80;
char_0[39] <= 32'h1F801F00;
char_0[40] <= 32'h0F801F00;
char_0[41] <= 32'h0F803E00;
char_0[42] <= 32'h07C03E00;
char_0[43] <= 32'h07E03C00;
char_0[44] <= 32'h03E07C00;
char_0[45] <= 32'h01F8F800;
char_0[46] <= 32'h00FFF000;
char_0[47] <= 32'h003FC000;
char_0[48] <= 32'h00000000;
char_0[49] <= 32'h00000000;
char_0[50] <= 32'h00000000;
char_0[51] <= 32'h00000000;
char_0[52] <= 32'h00000000;
char_0[53] <= 32'h00000000;
char_0[54] <= 32'h00000000;
char_0[55] <= 32'h00000000;
char_0[56] <= 32'h00000000;
char_0[57] <= 32'h00000000;
char_0[58] <= 32'h00000000;
char_0[59] <= 32'h00000000;
char_0[60] <= 32'h00000000;
char_0[61] <= 32'h00000000;
char_0[62] <= 32'h00000000;
char_0[63] <= 32'h00000000;
end
//char:1字符数据
always@(posedge vga_clk)
begin
char_1[0] <= 32'h00000000;
char_1[1] <= 32'h00000000;
char_1[2] <= 32'h00000000;
char_1[3] <= 32'h00000000;
char_1[4] <= 32'h00000000;
char_1[5] <= 32'h00000000;
char_1[6] <= 32'h00000000;
char_1[7] <= 32'h00000000;
char_1[8] <= 32'h00000000;
char_1[9] <= 32'h00000000;
char_1[10] <= 32'h00038000;
char_1[11] <= 32'h00078000;
char_1[12] <= 32'h000F8000;
char_1[13] <= 32'h03FF8000;
char_1[14] <= 32'h03FF8000;
char_1[15] <= 32'h001F8000;
char_1[16] <= 32'h000F8000;
char_1[17] <= 32'h000F8000;
char_1[18] <= 32'h000F8000;
char_1[19] <= 32'h000F8000;
char_1[20] <= 32'h000F8000;
char_1[21] <= 32'h000F8000;
char_1[22] <= 32'h000F8000;
char_1[23] <= 32'h000F8000;
char_1[24] <= 32'h000F8000;
char_1[25] <= 32'h000F8000;
char_1[26] <= 32'h000F8000;
char_1[27] <= 32'h000F8000;
char_1[28] <= 32'h000F8000;
char_1[29] <= 32'h000F8000;
char_1[30] <= 32'h000F8000;
char_1[31] <= 32'h000F8000;
char_1[32] <= 32'h000F8000;
char_1[33] <= 32'h000F8000;
char_1[34] <= 32'h000F8000;
char_1[35] <= 32'h000F8000;
char_1[36] <= 32'h000F8000;
char_1[37] <= 32'h000F8000;
char_1[38] <= 32'h000F8000;
char_1[39] <= 32'h000F8000;
char_1[40] <= 32'h000F8000;
char_1[41] <= 32'h000F8000;
char_1[42] <= 32'h000F8000;
char_1[43] <= 32'h000F8000;
char_1[44] <= 32'h000F8000;
char_1[45] <= 32'h001FC000;
char_1[46] <= 32'h03FFFE00;
char_1[47] <= 32'h03FFFE00;
char_1[48] <= 32'h00000000;
char_1[49] <= 32'h00000000;
char_1[50] <= 32'h00000000;
char_1[51] <= 32'h00000000;
char_1[52] <= 32'h00000000;
char_1[53] <= 32'h00000000;
char_1[54] <= 32'h00000000;
char_1[55] <= 32'h00000000;
char_1[56] <= 32'h00000000;
char_1[57] <= 32'h00000000;
char_1[58] <= 32'h00000000;
char_1[59] <= 32'h00000000;
char_1[60] <= 32'h00000000;
char_1[61] <= 32'h00000000;
char_1[62] <= 32'h00000000;
char_1[63] <= 32'h00000000;
end
//char:2字符数据
always@(posedge vga_clk)
begin
char_2[0] <= 32'h00000000;
char_2[1] <= 32'h00000000;
char_2[2] <= 32'h00000000;
char_2[3] <= 32'h00000000;
char_2[4] <= 32'h00000000;
char_2[5] <= 32'h00000000;
char_2[6] <= 32'h00000000;
char_2[7] <= 32'h00000000;
char_2[8] <= 32'h00000000;
char_2[9] <= 32'h00000000;
char_2[10] <= 32'h007FE000;
char_2[11] <= 32'h01FFF800;
char_2[12] <= 32'h03E0FC00;
char_2[13] <= 32'h07803E00;
char_2[14] <= 32'h0F003F00;
char_2[15] <= 32'h0F001F00;
char_2[16] <= 32'h1F001F00;
char_2[17] <= 32'h1F001F80;
char_2[18] <= 32'h1F000F80;
char_2[19] <= 32'h1F800F80;
char_2[20] <= 32'h1F800F80;
char_2[21] <= 32'h1F801F80;
char_2[22] <= 32'h0F801F00;
char_2[23] <= 32'h00001F00;
char_2[24] <= 32'h00003F00;
char_2[25] <= 32'h00003E00;
char_2[26] <= 32'h00007C00;
char_2[27] <= 32'h00007C00;
char_2[28] <= 32'h0000F800;
char_2[29] <= 32'h0001F000;
char_2[30] <= 32'h0003E000;
char_2[31] <= 32'h0007C000;
char_2[32] <= 32'h000F8000;
char_2[33] <= 32'h001F0000;
char_2[34] <= 32'h003E0000;
char_2[35] <= 32'h003C0000;
char_2[36] <= 32'h00780000;
char_2[37] <= 32'h00F00000;
char_2[38] <= 32'h01E00180;
char_2[39] <= 32'h03C00380;
char_2[40] <= 32'h07800380;
char_2[41] <= 32'h0F000380;
char_2[42] <= 32'h0F000780;
char_2[43] <= 32'h1E000F80;
char_2[44] <= 32'h3FFFFF00;
char_2[45] <= 32'h3FFFFF00;
char_2[46] <= 32'h3FFFFF00;
char_2[47] <= 32'h3FFFFF00;
char_2[48] <= 32'h00000000;
char_2[49] <= 32'h00000000;
char_2[50] <= 32'h00000000;
char_2[51] <= 32'h00000000;
char_2[52] <= 32'h00000000;
char_2[53] <= 32'h00000000;
char_2[54] <= 32'h00000000;
char_2[55] <= 32'h00000000;
char_2[56] <= 32'h00000000;
char_2[57] <= 32'h00000000;
char_2[58] <= 32'h00000000;
char_2[59] <= 32'h00000000;
char_2[60] <= 32'h00000000;
char_2[61] <= 32'h00000000;
char_2[62] <= 32'h00000000;
char_2[63] <= 32'h00000000;
end
//char:3字符数据
always@(posedge vga_clk)
begin
char_3[0] <= 32'h00000000;
char_3[1] <= 32'h00000000;
char_3[2] <= 32'h00000000;
char_3[3] <= 32'h00000000;
char_3[4] <= 32'h00000000;
char_3[5] <= 32'h00000000;
char_3[6] <= 32'h00000000;
char_3[7] <= 32'h00000000;
char_3[8] <= 32'h00000000;
char_3[9] <= 32'h00000000;
char_3[10] <= 32'h007FC000;
char_3[11] <= 32'h01FFF000;
char_3[12] <= 32'h07C1F800;
char_3[13] <= 32'h07807C00;
char_3[14] <= 32'h0F007E00;
char_3[15] <= 32'h0F003E00;
char_3[16] <= 32'h1F003E00;
char_3[17] <= 32'h1F803F00;
char_3[18] <= 32'h1F803F00;
char_3[19] <= 32'h0F803F00;
char_3[20] <= 32'h07003F00;
char_3[21] <= 32'h00003E00;
char_3[22] <= 32'h00003E00;
char_3[23] <= 32'h00003C00;
char_3[24] <= 32'h00007C00;
char_3[25] <= 32'h0001F800;
char_3[26] <= 32'h000FF000;
char_3[27] <= 32'h003FC000;
char_3[28] <= 32'h003FF000;
char_3[29] <= 32'h0001F800;
char_3[30] <= 32'h00007C00;
char_3[31] <= 32'h00003E00;
char_3[32] <= 32'h00001F00;
char_3[33] <= 32'h00001F00;
char_3[34] <= 32'h00000F80;
char_3[35] <= 32'h00000F80;
char_3[36] <= 32'h00000F80;
char_3[37] <= 32'h06000F80;
char_3[38] <= 32'h1F800F80;
char_3[39] <= 32'h1F800F80;
char_3[40] <= 32'h1F800F80;
char_3[41] <= 32'h1F801F00;
char_3[42] <= 32'h1F001F00;
char_3[43] <= 32'h1F003E00;
char_3[44] <= 32'h0F807E00;
char_3[45] <= 32'h07C0FC00;
char_3[46] <= 32'h03FFF000;
char_3[47] <= 32'h00FFC000;
char_3[48] <= 32'h00000000;
char_3[49] <= 32'h00000000;
char_3[50] <= 32'h00000000;
char_3[51] <= 32'h00000000;
char_3[52] <= 32'h00000000;
char_3[53] <= 32'h00000000;
char_3[54] <= 32'h00000000;
char_3[55] <= 32'h00000000;
char_3[56] <= 32'h00000000;
char_3[57] <= 32'h00000000;
char_3[58] <= 32'h00000000;
char_3[59] <= 32'h00000000;
char_3[60] <= 32'h00000000;
char_3[61] <= 32'h00000000;
char_3[62] <= 32'h00000000;
char_3[63] <= 32'h00000000;
end
//char:4字符数据
always@(posedge vga_clk)
begin
char_4[0] <= 32'h00000000;
char_4[1] <= 32'h00000000;
char_4[2] <= 32'h00000000;
char_4[3] <= 32'h00000000;
char_4[4] <= 32'h00000000;
char_4[5] <= 32'h00000000;
char_4[6] <= 32'h00000000;
char_4[7] <= 32'h00000000;
char_4[8] <= 32'h00000000;
char_4[9] <= 32'h00000000;
char_4[10] <= 32'h00007800;
char_4[11] <= 32'h0000F800;
char_4[12] <= 32'h0000F800;
char_4[13] <= 32'h0001F800;
char_4[14] <= 32'h0003F800;
char_4[15] <= 32'h0003F800;
char_4[16] <= 32'h0007F800;
char_4[17] <= 32'h000FF800;
char_4[18] <= 32'h000EF800;
char_4[19] <= 32'h001CF800;
char_4[20] <= 32'h003CF800;
char_4[21] <= 32'h0038F800;
char_4[22] <= 32'h0078F800;
char_4[23] <= 32'h0070F800;
char_4[24] <= 32'h00E0F800;
char_4[25] <= 32'h01E0F800;
char_4[26] <= 32'h01C0F800;
char_4[27] <= 32'h0380F800;
char_4[28] <= 32'h0780F800;
char_4[29] <= 32'h0700F800;
char_4[30] <= 32'h0F00F800;
char_4[31] <= 32'h1E00F800;
char_4[32] <= 32'h1C00F800;
char_4[33] <= 32'h3C00F800;
char_4[34] <= 32'h3800F800;
char_4[35] <= 32'h7FFFFFE0;
char_4[36] <= 32'h7FFFFFE0;
char_4[37] <= 32'h0000F800;
char_4[38] <= 32'h0000F800;
char_4[39] <= 32'h0000F800;
char_4[40] <= 32'h0000F800;
char_4[41] <= 32'h0000F800;
char_4[42] <= 32'h0000F800;
char_4[43] <= 32'h0000F800;
char_4[44] <= 32'h0000F800;
char_4[45] <= 32'h0001F800;
char_4[46] <= 32'h003FFFC0;
char_4[47] <= 32'h003FFFC0;
char_4[48] <= 32'h00000000;
char_4[49] <= 32'h00000000;
char_4[50] <= 32'h00000000;
char_4[51] <= 32'h00000000;
char_4[52] <= 32'h00000000;
char_4[53] <= 32'h00000000;
char_4[54] <= 32'h00000000;
char_4[55] <= 32'h00000000;
char_4[56] <= 32'h00000000;
char_4[57] <= 32'h00000000;
char_4[58] <= 32'h00000000;
char_4[59] <= 32'h00000000;
char_4[60] <= 32'h00000000;
char_4[61] <= 32'h00000000;
char_4[62] <= 32'h00000000;
char_4[63] <= 32'h00000000;
end
//char:5字符数据
always@(posedge vga_clk)
begin
char_5[0] <= 32'h00000000;
char_5[1] <= 32'h00000000;
char_5[2] <= 32'h00000000;
char_5[3] <= 32'h00000000;
char_5[4] <= 32'h00000000;
char_5[5] <= 32'h00000000;
char_5[6] <= 32'h00000000;
char_5[7] <= 32'h00000000;
char_5[8] <= 32'h00000000;
char_5[9] <= 32'h00000000;
char_5[10] <= 32'h07FFFF80;
char_5[11] <= 32'h07FFFF00;
char_5[12] <= 32'h07FFFF00;
char_5[13] <= 32'h07FFFE00;
char_5[14] <= 32'h07000000;
char_5[15] <= 32'h07000000;
char_5[16] <= 32'h07000000;
char_5[17] <= 32'h07000000;
char_5[18] <= 32'h07000000;
char_5[19] <= 32'h07000000;
char_5[20] <= 32'h07000000;
char_5[21] <= 32'h07000000;
char_5[22] <= 32'h07000000;
char_5[23] <= 32'h0F1FC000;
char_5[24] <= 32'h0F7FF800;
char_5[25] <= 32'h0FFFFC00;
char_5[26] <= 32'h0FE0FE00;
char_5[27] <= 32'h0FC03E00;
char_5[28] <= 32'h0F803F00;
char_5[29] <= 32'h0F001F00;
char_5[30] <= 32'h00001F80;
char_5[31] <= 32'h00000F80;
char_5[32] <= 32'h00000F80;
char_5[33] <= 32'h00000F80;
char_5[34] <= 32'h00000F80;
char_5[35] <= 32'h00000F80;
char_5[36] <= 32'h06000F80;
char_5[37] <= 32'h0F800F80;
char_5[38] <= 32'h1F800F80;
char_5[39] <= 32'h1F800F80;
char_5[40] <= 32'h1F801F80;
char_5[41] <= 32'h1F001F00;
char_5[42] <= 32'h1F001F00;
char_5[43] <= 32'h0F003E00;
char_5[44] <= 32'h0F007E00;
char_5[45] <= 32'h07C0FC00;
char_5[46] <= 32'h01FFF800;
char_5[47] <= 32'h007FE000;
char_5[48] <= 32'h00000000;
char_5[49] <= 32'h00000000;
char_5[50] <= 32'h00000000;
char_5[51] <= 32'h00000000;
char_5[52] <= 32'h00000000;
char_5[53] <= 32'h00000000;
char_5[54] <= 32'h00000000;
char_5[55] <= 32'h00000000;
char_5[56] <= 32'h00000000;
char_5[57] <= 32'h00000000;
char_5[58] <= 32'h00000000;
char_5[59] <= 32'h00000000;
char_5[60] <= 32'h00000000;
char_5[61] <= 32'h00000000;
char_5[62] <= 32'h00000000;
char_5[63] <= 32'h00000000;
end
//char:6字符数据
always@(posedge vga_clk)
begin
char_6[0] <= 32'h00000000;
char_6[1] <= 32'h00000000;
char_6[2] <= 32'h00000000;
char_6[3] <= 32'h00000000;
char_6[4] <= 32'h00000000;
char_6[5] <= 32'h00000000;
char_6[6] <= 32'h00000000;
char_6[7] <= 32'h00000000;
char_6[8] <= 32'h00000000;
char_6[9] <= 32'h00000000;
char_6[10] <= 32'h001FF800;
char_6[11] <= 32'h007FFC00;
char_6[12] <= 32'h00F83E00;
char_6[13] <= 32'h01F03F00;
char_6[14] <= 32'h03E03F00;
char_6[15] <= 32'h07C03F00;
char_6[16] <= 32'h07803F00;
char_6[17] <= 32'h0F801C00;
char_6[18] <= 32'h0F800000;
char_6[19] <= 32'h1F000000;
char_6[20] <= 32'h1F000000;
char_6[21] <= 32'h1F000000;
char_6[22] <= 32'h1F000000;
char_6[23] <= 32'h3F038000;
char_6[24] <= 32'h3F1FF800;
char_6[25] <= 32'h3F7FFC00;
char_6[26] <= 32'h3FFCFE00;
char_6[27] <= 32'h3FF03F00;
char_6[28] <= 32'h3FC01F00;
char_6[29] <= 32'h3F801F80;
char_6[30] <= 32'h3F800F80;
char_6[31] <= 32'h3F000F80;
char_6[32] <= 32'h3F000FC0;
char_6[33] <= 32'h3F0007C0;
char_6[34] <= 32'h3F0007C0;
char_6[35] <= 32'h3F0007C0;
char_6[36] <= 32'h3F0007C0;
char_6[37] <= 32'h1F0007C0;
char_6[38] <= 32'h1F000FC0;
char_6[39] <= 32'h1F000F80;
char_6[40] <= 32'h1F800F80;
char_6[41] <= 32'h0F800F80;
char_6[42] <= 32'h0FC00F00;
char_6[43] <= 32'h07C01F00;
char_6[44] <= 32'h03E03E00;
char_6[45] <= 32'h01F87C00;
char_6[46] <= 32'h00FFF800;
char_6[47] <= 32'h003FE000;
char_6[48] <= 32'h00000000;
char_6[49] <= 32'h00000000;
char_6[50] <= 32'h00000000;
char_6[51] <= 32'h00000000;
char_6[52] <= 32'h00000000;
char_6[53] <= 32'h00000000;
char_6[54] <= 32'h00000000;
char_6[55] <= 32'h00000000;
char_6[56] <= 32'h00000000;
char_6[57] <= 32'h00000000;
char_6[58] <= 32'h00000000;
char_6[59] <= 32'h00000000;
char_6[60] <= 32'h00000000;
char_6[61] <= 32'h00000000;
char_6[62] <= 32'h00000000;
char_6[63] <= 32'h00000000;
end
//char:7字符数据
always@(posedge vga_clk)
begin
char_7[0] <= 32'h00000000;
char_7[1] <= 32'h00000000;
char_7[2] <= 32'h00000000;
char_7[3] <= 32'h00000000;
char_7[4] <= 32'h00000000;
char_7[5] <= 32'h00000000;
char_7[6] <= 32'h00000000;
char_7[7] <= 32'h00000000;
char_7[8] <= 32'h00000000;
char_7[9] <= 32'h00000000;
char_7[10] <= 32'h0FFFFF80;
char_7[11] <= 32'h0FFFFF80;
char_7[12] <= 32'h0FFFFF80;
char_7[13] <= 32'h0FFFFF00;
char_7[14] <= 32'h0F000F00;
char_7[15] <= 32'h1E000E00;
char_7[16] <= 32'h1C001E00;
char_7[17] <= 32'h1C001C00;
char_7[18] <= 32'h1C003C00;
char_7[19] <= 32'h18007800;
char_7[20] <= 32'h00007800;
char_7[21] <= 32'h0000F000;
char_7[22] <= 32'h0000F000;
char_7[23] <= 32'h0001E000;
char_7[24] <= 32'h0001E000;
char_7[25] <= 32'h0003C000;
char_7[26] <= 32'h0003C000;
char_7[27] <= 32'h0003C000;
char_7[28] <= 32'h00078000;
char_7[29] <= 32'h00078000;
char_7[30] <= 32'h000F8000;
char_7[31] <= 32'h000F0000;
char_7[32] <= 32'h001F0000;
char_7[33] <= 32'h001F0000;
char_7[34] <= 32'h001F0000;
char_7[35] <= 32'h001F0000;
char_7[36] <= 32'h003F0000;
char_7[37] <= 32'h003E0000;
char_7[38] <= 32'h003E0000;
char_7[39] <= 32'h003E0000;
char_7[40] <= 32'h007F0000;
char_7[41] <= 32'h007F0000;
char_7[42] <= 32'h007F0000;
char_7[43] <= 32'h007F0000;
char_7[44] <= 32'h007F0000;
char_7[45] <= 32'h007F0000;
char_7[46] <= 32'h007E0000;
char_7[47] <= 32'h003E0000;
char_7[48] <= 32'h00000000;
char_7[49] <= 32'h00000000;
char_7[50] <= 32'h00000000;
char_7[51] <= 32'h00000000;
char_7[52] <= 32'h00000000;
char_7[53] <= 32'h00000000;
char_7[54] <= 32'h00000000;
char_7[55] <= 32'h00000000;
char_7[56] <= 32'h00000000;
char_7[57] <= 32'h00000000;
char_7[58] <= 32'h00000000;
char_7[59] <= 32'h00000000;
char_7[60] <= 32'h00000000;
char_7[61] <= 32'h00000000;
char_7[62] <= 32'h00000000;
char_7[63] <= 32'h00000000;
end
//char:8字符数据
always@(posedge vga_clk)
begin
char_8[0] <= 32'h00000000;
char_8[1] <= 32'h00000000;
char_8[2] <= 32'h00000000;
char_8[3] <= 32'h00000000;
char_8[4] <= 32'h00000000;
char_8[5] <= 32'h00000000;
char_8[6] <= 32'h00000000;
char_8[7] <= 32'h00000000;
char_8[8] <= 32'h00000000;
char_8[9] <= 32'h00000000;
char_8[10] <= 32'h007FE000;
char_8[11] <= 32'h01FFF800;
char_8[12] <= 32'h07E07C00;
char_8[13] <= 32'h07803E00;
char_8[14] <= 32'h0F001F00;
char_8[15] <= 32'h1F000F00;
char_8[16] <= 32'h1E000F80;
char_8[17] <= 32'h1E000F80;
char_8[18] <= 32'h1E000F80;
char_8[19] <= 32'h1E000F80;
char_8[20] <= 32'h1F000F80;
char_8[21] <= 32'h1F000F80;
char_8[22] <= 32'h1F800F00;
char_8[23] <= 32'h0FC01F00;
char_8[24] <= 32'h07F03E00;
char_8[25] <= 32'h07FC7C00;
char_8[26] <= 32'h01FFF800;
char_8[27] <= 32'h00FFE000;
char_8[28] <= 32'h01FFF000;
char_8[29] <= 32'h03EFF800;
char_8[30] <= 32'h07C3FC00;
char_8[31] <= 32'h0F81FE00;
char_8[32] <= 32'h1F007F00;
char_8[33] <= 32'h1E003F00;
char_8[34] <= 32'h3E001F80;
char_8[35] <= 32'h3E000F80;
char_8[36] <= 32'h3C000F80;
char_8[37] <= 32'h3C000F80;
char_8[38] <= 32'h3C000780;
char_8[39] <= 32'h3C000780;
char_8[40] <= 32'h3C000F80;
char_8[41] <= 32'h3E000F80;
char_8[42] <= 32'h1E000F00;
char_8[43] <= 32'h1F001F00;
char_8[44] <= 32'h0F803E00;
char_8[45] <= 32'h07E07C00;
char_8[46] <= 32'h01FFF800;
char_8[47] <= 32'h007FE000;
char_8[48] <= 32'h00000000;
char_8[49] <= 32'h00000000;
char_8[50] <= 32'h00000000;
char_8[51] <= 32'h00000000;
char_8[52] <= 32'h00000000;
char_8[53] <= 32'h00000000;
char_8[54] <= 32'h00000000;
char_8[55] <= 32'h00000000;
char_8[56] <= 32'h00000000;
char_8[57] <= 32'h00000000;
char_8[58] <= 32'h00000000;
char_8[59] <= 32'h00000000;
char_8[60] <= 32'h00000000;
char_8[61] <= 32'h00000000;
char_8[62] <= 32'h00000000;
char_8[63] <= 32'h00000000;
end
//char:9字符数据
always@(posedge vga_clk)
begin
char_9[0] <= 32'h00000000;
char_9[1] <= 32'h00000000;
char_9[2] <= 32'h00000000;
char_9[3] <= 32'h00000000;
char_9[4] <= 32'h00000000;
char_9[5] <= 32'h00000000;
char_9[6] <= 32'h00000000;
char_9[7] <= 32'h00000000;
char_9[8] <= 32'h00000000;
char_9[9] <= 32'h00000000;
char_9[10] <= 32'h00FFC000;
char_9[11] <= 32'h01FFF000;
char_9[12] <= 32'h07E0F800;
char_9[13] <= 32'h07807C00;
char_9[14] <= 32'h0F803E00;
char_9[15] <= 32'h1F001E00;
char_9[16] <= 32'h1F001F00;
char_9[17] <= 32'h3E001F00;
char_9[18] <= 32'h3E000F80;
char_9[19] <= 32'h3E000F80;
char_9[20] <= 32'h3E000F80;
char_9[21] <= 32'h3E000F80;
char_9[22] <= 32'h3E000F80;
char_9[23] <= 32'h3E000FC0;
char_9[24] <= 32'h3E000FC0;
char_9[25] <= 32'h3E001FC0;
char_9[26] <= 32'h3F001FC0;
char_9[27] <= 32'h3F003FC0;
char_9[28] <= 32'h1F003FC0;
char_9[29] <= 32'h1F807FC0;
char_9[30] <= 32'h0FC1FFC0;
char_9[31] <= 32'h07FFEF80;
char_9[32] <= 32'h03FFCF80;
char_9[33] <= 32'h00FF0F80;
char_9[34] <= 32'h00001F80;
char_9[35] <= 32'h00001F80;
char_9[36] <= 32'h00001F00;
char_9[37] <= 32'h00001F00;
char_9[38] <= 32'h00001F00;
char_9[39] <= 32'h00003E00;
char_9[40] <= 32'h07003E00;
char_9[41] <= 32'h0F807C00;
char_9[42] <= 32'h0FC07C00;
char_9[43] <= 32'h0FC0F800;
char_9[44] <= 32'h0FC1F000;
char_9[45] <= 32'h0FC3E000;
char_9[46] <= 32'h07FFC000;
char_9[47] <= 32'h01FF0000;
char_9[48] <= 32'h00000000;
char_9[49] <= 32'h00000000;
char_9[50] <= 32'h00000000;
char_9[51] <= 32'h00000000;
char_9[52] <= 32'h00000000;
char_9[53] <= 32'h00000000;
char_9[54] <= 32'h00000000;
char_9[55] <= 32'h00000000;
char_9[56] <= 32'h00000000;
char_9[57] <= 32'h00000000;
char_9[58] <= 32'h00000000;
char_9[59] <= 32'h00000000;
char_9[60] <= 32'h00000000;
char_9[61] <= 32'h00000000;
char_9[62] <= 32'h00000000;
char_9[63] <= 32'h00000000;
end
//char:瀛楃鏁版嵁
always@(posedge vga_clk)
begin
char[0] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[1] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[2] <= 256'h0000000000000000000001800000000000000000000000000000000000000000;
char[3] <= 256'h0000000000000000000000E00000000001000000000100000000000008000000;
char[4] <= 256'h0000000000000000000000780000000001806000C001C000000000000E000000;
char[5] <= 256'h00000080000000000000003C0000000000E07001E001E000000000000F980000;
char[6] <= 256'h000000E0000000000000003C0000000000707FFFF001C000018000000F0E0000;
char[7] <= 256'h00000070000000000000001C0002000000787001E001C00000C000000E0F8000;
char[8] <= 256'h0000003C000000000000001C00070000003C7001C001C00000E000000E07C000;
char[9] <= 256'h0000003E0000000000000018000F8000003C7001C001C000007000000E03C000;
char[10] <= 256'h0000001F0000000003FFFFFFFFFFC000001C7001C101C000007800000E03C000;
char[11] <= 256'h0000001F80000000010000600000000000187001C1C1C000003C00000E018000;
char[12] <= 256'h0000000F80000000000000700000000000007001C1F1C000003C00000E008000;
char[13] <= 256'h0000000FC0000000000000F80000000000007081C1E1C000001C00000E006000;
char[14] <= 256'h00000007C0000000000001E000080000000070E1C1C1C000001C00000F00F000;
char[15] <= 256'h00002007C000000000C001C0601C0000180070F9C1C1C000001C00000701F000;
char[16] <= 256'h000038038000000000700380703E00000C0070F1C1C1C00000001FFFFFFFF800;
char[17] <= 256'h00003E0380000000003C0700F83E00000F0170E1C1C1C0000000000007000000;
char[18] <= 256'h00003C0100000000003E0C01F0780000078170E1C1C1C0000000000007000000;
char[19] <= 256'h0000380000000000001E1803C0E00000038370E1C1C1C0000000000007000000;
char[20] <= 256'h0000380000000000000E7FFF81C0000003C270E1C1C1C0000000000007000000;
char[21] <= 256'h0000380000000000000E3FF70380000003C270E1C1C1C0000000000007000000;
char[22] <= 256'h001038000010000000043C0E0200000001C270E1C1C1C000001C000007000000;
char[23] <= 256'h00303800001800000000001C04000000018670E1C1C1C000001E000007000000;
char[24] <= 256'h00303800000C00000000003800000000000470E1C1C1C0001FFE000047000000;
char[25] <= 256'h00303800000E00000000407000000000000470E1C1C1C000081C0000E3000000;
char[26] <= 256'h0030380000070000000080E103C00000000C70E1C1C1C000001C0001F3800000;
char[27] <= 256'h0070380000078000000301C0C0F80000000C70E1C1C1C000001C0FFFFB800000;
char[28] <= 256'h007038000003C000000E0380E03E0000000870E1C1C1C000001C001C03800000;
char[29] <= 256'h007038000003E000003C0700701F0000001870E1C1C1C000001C001C03800000;
char[30] <= 256'h00F038000003E00000F01C00380F0000001870E1C1C1C000001C001C03800000;
char[31] <= 256'h00F038000001F00003E07FFFFC070000001870E1C1C1C000001C001C01C00000;
char[32] <= 256'h01E038000001F00007C03FE01C030000003070E1C1C1C000001C001C01C00000;
char[33] <= 256'h03E0380000C1F00003803C3818030000003070C1C1C1C000001C001C01C00000;
char[34] <= 256'h07E0380000C0F0000100103C18010000007070C1C1C1C000001C001C01C00000;
char[35] <= 256'h07E0380000C0F000010000380000000000F070C1C1C1C000001C001C00E00000;
char[36] <= 256'h0FC0380000C0E00000000038000000003FE071C181C1C000001C001C00E00000;
char[37] <= 256'h0F80380000C04000000000380000400007E071C001C1C000001C041C00E00000;
char[38] <= 256'h0000380000C00000000000380000E00001E0418001C1C000001C081C00700800;
char[39] <= 256'h0000380000C00000000000380001F00001E0039001C1C000001C181C00700800;
char[40] <= 256'h0000380000C000001FFFFFFFFFFFF80000E003980001C000001C301C00781800;
char[41] <= 256'h0000380000C00000080000380000000000E0030C0001C000001C701C1C381000;
char[42] <= 256'h0000380000C00000000000380000000001E007070001C000001CE01DF03C1000;
char[43] <= 256'h0000380001E00000000000380000000001E006038001C000001DC01F801E1000;
char[44] <= 256'h00003C0001E00000000000380000000001E00E03C001C000001DC0FE001F1000;
char[45] <= 256'h00003C0003F80000000000380000000001E01C01E001C000001F87F8000F3000;
char[46] <= 256'h00003FFFFFF00000000000380000000003E03801E001C000001F1FE00007B000;
char[47] <= 256'h00001FFFFFF00000000000380000000003E07000E101C000003F0F000003F000;
char[48] <= 256'h00000FFFFFC00000000000380000000003E0E000E0FFC000001E06000003F000;
char[49] <= 256'h0000000000000000000000380000000001E1C000E03FC000000C04000001F800;
char[50] <= 256'h0000000000000000000000380000000000030000400F80000004000000007800;
char[51] <= 256'h00000000000000000000003800000000000E0000000700000000000000003800;
char[52] <= 256'h0000000000000000000000380000000000080000000600000000000000000800;
char[53] <= 256'h0000000000000000000000300000000000000000000000000000000000000000;
char[54] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[55] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[56] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[57] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[58] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[59] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[60] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[61] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[62] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
char[63] <= 256'h0000000000000000000000000000000000000000000000000000000000000000;
end
//pix_data:输出像素点色彩信息,根据当前像素点坐标指定当前像素点颜色数据
always@(posedge vga_clk or negedge sys_rst_n)
if(sys_rst_n == 1'b0)
pix_data <= BLACK;
else if((((pix_x >= (CHAR_B_H - 1'b1))
&& (pix_x < (CHAR_B_H + CHAR_W -1'b1)))
&& ((pix_y >= CHAR_B_V) && (pix_y < (CHAR_B_V + CHAR_H))))
&& (char[char_y][10'd255 - char_x] == 1'b1))
pix_data <= GOLDEN;
// else if( (pix_x >= (CHAR_C_H - 1'b1)) && (pix_x < (CHAR_C_H +32 -1'b1)) && ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V +64))) && (charyy[char_y][10'd31 - char_x] == 1'b1) )
// pix_data <= GOLDEN;
else if( (pix_x >= (CHAR_C_H - 1'b1)) && (pix_x < (CHAR_C_H + 32 -1'b1)) && ((pix_y >= CHAR_C_V) && (pix_y < (CHAR_C_V + 64))) && (char_w[char_y][10'd31 - char_x] == 1'b1) )
pix_data <= GOLDEN;
else if( (pix_x >= (400- 1'b1)) && (pix_x < (400 + 32 -1'b1)) && ((pix_y >= CHAR_C_V ) && (pix_y < (CHAR_C_V + 64))) && (char_l[char_y][10'd31 - char_x] == 1'b1) )
pix_data <= GOLDEN;
else if( (pix_x >= (440 - 1'b1)) && (pix_x < (440 + 32 -1'b1)) && ((pix_y >= CHAR_C_V ) && (pix_y < (CHAR_C_V + 64))) && (char_1[char_y][10'd31 - char_x] == 1'b1) )
pix_data <= BLACK;
else
pix_data <= BLACK;
endmodule
总结
跟LCD有相似之处。继续学习