java算法 递归运算优化(备忘录模式)

发布于:2024-04-30 ⋅ 阅读:(25) ⋅ 点赞:(0)

一、递归是很常见的一种运算,有明显特征特征的场景一般使用递归比较合适。其一,相邻的数据存在一定的逻辑关系,其二、必须存在出口的值,如第一个元素或者最后元素的值能轻松计算出来。

二、递归和备忘录示例
1、题目:斐波那契数列: 指的是这样一个数列:1、1、2、3、5、8、13、21、34、……。求该数列中第30个数据的值。

package com.zw.study.algorithm;

import java.util.HashMap;
import java.util.Map;

public class RecursionTest {
    private static int count = 0;   // 统计运算次数
    private static Map<Integer, Integer> bookMap = new HashMap<>();   // 用于存储递归计算过的结果

    public static void main(String[] args) {
    
        long startTime = System.nanoTime();
        int number = getBasicNumber(30);
        long endTime = System.nanoTime();
        System.out.println("基础递归共耗时:" + (endTime - startTime) + "纳秒");
        System.out.println("基础递归共调用方法:" + count + "次");
        System.out.println("基础递归第30个数据的值是:" + number);
        System.out.println();

        count = 0;
        startTime = System.nanoTime();
        number = getBookNumber(30);
        endTime = System.nanoTime();
        System.out.println("备忘录递归共耗时:" + (endTime - startTime) + "纳秒");
        System.out.println("备忘录递归共调用方法:" + count + "次");
        System.out.println("备忘录递归第30个数据的值是:" + number);
    }

    // 基础递归算法
    private static int getBasicNumber(int num) {
        count++;
        if (num == 1 || num == 2) {
            return 1;
        }
        return getBasicNumber(num - 1) + getBasicNumber(num - 2);
    }

    // 备忘录算法
    private static int getBookNumber(int num) {
        count++;
        if (num == 1 || num == 2) {
            return 1;
        }
        if (bookMap.containsKey(num)) {
            return bookMap.get(num);
        } else {
            int bookNumber = getBookNumber(num - 1) + getBookNumber(num - 2);
            bookMap.put(num, bookNumber);
            return bookNumber;
        }
    }
}

如上的代码,getBasicNumber为基本递归的操作,getBookNumber是使用了备忘录算法的递归操作。
运算结果如下:
在这里插入图片描述
从运行结果看,常规递归和备忘录算法递归都可以得到正确的结果,不过相对而言,备忘录算法的耗时和运行次数都要更优于常规递归操作。原因很简单,备忘录模式就是借助临时空间,把已经运算过的结果存储到临时空间中,下一次发现为相同运算时,就不需要再次进行逻辑运算,只需要从临时空间中取出值即可。也是一种典型的以空间换时间的想法。

学海无涯苦作舟!!!


网站公告

今日签到

点亮在社区的每一天
去签到