SV实验二:Sending Packets Through Route

发布于:2023-01-04 ⋅ 阅读:(431) ⋅ 点赞:(0)

一、实验内容及目标

1、实验内容:

(1)自学《SystemVerilog Testbench Lab Guide》pdf文档,理解掌握相关内容;

(2)继续去搭建测试平台上的相关组件(component):激励产生器(Stimulus Generator),驱动器(Driver)等。

(3)使用一些的子程序(routine)去把一个数据包从输入端口3发送到输出端口7,并观察到这个数据包的有效负载(payload)。

(4)编译(Complie)和仿真(Simulate)这个SV程序。

2、实验目标:

  1. 拓展lab1中的测试平台,从一个输入端向一个输出端发送数据包。

  2. 用新的测试平台来编译和仿真设计文件。

     3.理解每行代码的功能。

二、实验过程或步骤

任务一:将lab1的文件复制到lab2下

1、进入lab2下,使用make copy完成

任务2: 声明程序的全局变量

        根据路由器的需求说明(包括使用哪个输入端口和哪个输出端口,以及发送什么样的数据),来发送一个数据包。为了让这些变量更容易被参考到,你将会把它们声明为程序的全局变量(program globals)。

1、使用编辑器打开已存在的test.sv文件。

2、对这个数据包声明程序全局变量:

        bit [3:0] sa;

        bit [3:0] da;

        logic [7:0] payload[$];

任务3:产生数据包

        在lab1中,你通过调用reset()子程序来配置(Configure)待测试模块DUT。接着你创建一个“ gen()”task来继续发展这个测试平台。(gen()是一个可以产生测试激励的子程序)

1、在程序的initial块中的reset()后面,调用gen()任务。

2、在任务reset()代码块后面,声明gen()任务。

3、在gen()任务的体(body)中

(1)设置sa(源地址)为3,da(目标地址)为7。

(2)用2到4范围内的随机字节来填满有效负载序列(payload queue)。

任务4:创建发送数据包的程序(routine)

1、在数据包的信息产生后,你准备好创建Transactor和Driver来发送数据包。

2、把一个数据包发送通过路由器的行为,可以通过以下三个进程来完成:

  1. 发送Destination Address(目标地址)

  2. 发送padding bits。

  3. 发送payload。

3、其中每一个进程将发展成为driver routine中的一个独立部分(device)。Driver中各部件和Transactor的区别是:当Transactor调用 device driver时,device driver和硬件信号是直接相互作用的。

4、这些抽象的分层可以使testbench的子程序(routine)更容易管理,更好复用,更加可靠。以下的步骤可以帮助你构建这些子程序: 

        1)在initial块中,在gen()后面立即调用send()任务来发送数据包。

        2)在调用send()后仿真10个时钟周期。

        3)在program中添加一个对任务send()的声明。

        4)在send()任务中,包括如下操作。

        5)创建send_addrs()任务。这个Driver的部件将会驱动4位的地址进入Router。

                a)调用send_addrs()

                b)调用send_pad()

                c)调用send_payload()

        6)在send_addrs()中,包括这样的操作。

                  a)驱动frame_n信号作为每一个路由器的配置内容。

                  b)驱动目标地址通过din信号传送。

                 c)把din作为单bit的连续信号。使用一个环结构来驱动din,以每周期一bit的速度循环四个周期。

5、创建send_pad()任务。这个device driver可以驱动五个pad bits进入路由器。

6、在send_pad()任务中,会驱动frame_n,valid_n和din信号作为每一个路由器的配置(specification)。

7、创建send_payload()任务。这个device driver发送payload到路由器中。

8、在send_payload()任务的体中,有如下操作:

  1. 写一个可以执行“payload.size()”次的循环。
  2. 当数据包发送完成后,将valid_n信号返回到1’b1。
  3. 在每次路由器的配置中,在发送到这个数据包的最后一位时,将frame_n信号反转至1’b1。
  4. 记着在每次路由器的配置中驱动valid_n。
  5. 这个循环内的payload[$]序列中,每8-bit数据被以一个周期一个bit的速度进行传送。

9、保存和关闭test.v文件。

任务5:对program进行编译和纠错(Debug)

lab中有makefile文件,运行make

任务6:拓展program到可以发送21个数据包

1、修改program模块,使用相同的sa=3和da=7,发送21个数据包。

2\编译(Compile),仿真(Simulate),查看波形。

三、实验结果

1、实验代码

(1)router_io.sv

`timescale 1ns/100ps

interface router_io(input bit clock);         //声明由信号时钟摆位驱动的时钟,例化接口

        logic reset_n;                     // 添加将测试程序连接到 DUT 所需的所有信号

        logic [15:0] din;                   // 参数应列出时钟块和所有其他潜在的异步信号

        logic [15:0] frame_n;

        logic [15:0] valid_n;

        logic [15:0] dout;

        logic [15:0] valido_n;

        logic [15:0] busy_n;

        logic [15:0] frameo_n;

        clocking cb @(posedge clock);      // 声明一个由时钟上升沿驱动的时钟模块

         default input #1ns output #1ns;     // 相对于时钟的上升沿会有1ns的延迟

         output reset_n;                  // 在时钟块中添加输入和输出

         output din;

         output frame_n;

         output valid_n;

         input  dout;

         input  valido_n;

         input  busy_n;

         input  frameo_n;

        endclocking: cb

        modport TB(clocking cb,output reset_n);    // 重新生成一个模块端口以连接到测试程序

endinterface: router_io                         应列出时钟块和所有其他潜在的异步信号

(2) test.sv

`timescale 1ns/100ps

program automatic test(router_io.TB router);      // 声明一个带有要连接的参数的程序块

以修改接口中声明的 TB

int run_for_n_packets;                     //声明全局变量    

         bit [3:0] sa;

         bit [3:0] da;

         logic [7:0] payload[$];

             initial begin

      run_for_n_packets = 21;

             $vcdpluson;

             reset();                             //在程序内部定义一个名为 reset() 的任务

                                         以根据规范重置 DUT

repeat(run_for_n_packets) begin             //等待21个数据包

                    gen();

                    send();

             end

             repeat(10) @(router.cb);                //等待10个有效时钟沿

end                                  

      task reset();

           router.reset_n         <= 1'b0; //给复位赋值0

           router.cb.frame_n      <= '1;  //给时钟模块中的frame_n赋值1

           router.cb.valid_n      <= '1;  //给时钟模块中的valid_n赋值1,此时不出传输数据

           #2 router.cb.reset_n   <= 1'b1; //延迟2us,给时钟模块中的复位赋值为1

注意#和##含义不同

           repeat(15) @(router.cb);     //等待15个有效时钟沿

      endtask: reset

     

task gen();                                //定义任务

             sa = 3;                            //设置源地址

             da = 7;                            //设置目标地址

             payload.delete();

             repeat($urandom_range(2,4))          //产生2到4范围的随机字节

                    payload.push_back($urandom);    //产生的随机数填满有效负载序列

      endtask:gen

      task send();//定义发送数据任务

             send_addrs();

             send_pad();

             send_payload();

      endtask:send

      task send_addrs();

             router.cb.frame_n[sa] <= 1'b0;     //驱动时钟模块中的frame_n信号,赋值0

             for(int i=0; i<4; i++) begin        //循环4个周期

                    router.cb.din[sa] <= da[i];     //驱动目标地址通过din传送

                    @(router.cb);

             end

      endtask:send_addrs

      task send_pad();

             router.cb.frame_n[sa] <= 1'b0;     //驱动时钟模块中的frame_n,赋值1

             router.cb.valid_n[sa] <= 1'b1;      //驱动时钟模块中的valid_n,赋值1

             router.cb.din[sa] <= 1'b1;          //驱动时钟模块中的din信号,赋值1

             repeat(5) @(router.cb);           //等待5个有效时钟沿

      endtask:send_pad

      task send_payload();

             foreach(payload[index]) begin

                    for(int i=0; i<8; i++) begin                  //循环8个周期

                           router.cb.din[sa] <= payload[index][i];      //传递数据

                           router.cb.valid_n[sa] <= 1'b0;      //驱动时钟模块中的valid_n信号,赋值0

                           router.cb.frame_n[sa] <= (index == (payload.size()-1)) && (i==7);

                                         //发送数据最后一位时,反转frame_n信号

                           @(router.cb);                //等待时钟沿

                    end

             end

             router.cb.valid_n[sa] <= 1'b1;          //当数据包发送完成,将valid_n信号赋值1

      endtask:send_payload

endprogram: test

(3) router_test_top.sv

`timescale 1ns/100ps

module router_test_top;

      parameter   simulation_cycle = 100;          // 添加一个接口实例, 实例化测试程序

通过接口进行 I/O 连接

      bit         SystemClock;

      router_io top_io(SystemClock);               //调用底层函数

      test t(top_io);

      router dut(                                    //修改 DUT 连接以通过接口连接

             .reset_n   (top_io.reset_n),

               .clock     (top_io.clock),

             .din       (top_io.din),

                .frame_n   (top_io.frame_n),

               .valid_n   (top_io.valid_n),

               .dout      (top_io.dout),

                  .valido_n  (top_io.valido_n),

              .busy_n    (top_io.busy_n),

               .frameo_n  (top_io.frameo_n)

              );

      initial begin

                  $timeformat(-9,1,"ns",10);           //精确到小数点后一位

                   SystemClock = 0;              以及显示数值的最小宽度为10,单位为n

                  forever begin

                    #(simulation_cycle/2)

                    SystemClock = ~SystemClock;

      end

end

endmodule

2、仿真波形图

 


网站公告

今日签到

点亮在社区的每一天
去签到